From 73e04bd751ece707791a812854d696b247ca4629 Mon Sep 17 00:00:00 2001 From: Guillem Date: Tue, 31 Mar 2020 13:40:44 +0200 Subject: [PATCH] Fix makefile paths and suppress warnigns questasim 10.7 --- sim/Makefile | 40 +++++++++++++++++++++------------------- 1 file changed, 21 insertions(+), 19 deletions(-) diff --git a/sim/Makefile b/sim/Makefile index 2089d1c..99a9e46 100644 --- a/sim/Makefile +++ b/sim/Makefile @@ -1,14 +1,16 @@ RTL = ../rtl/*.sv -TOP = ../env/top.sv -PKG = ../test/test_pkg.sv - -INC = +incdir+../ahb_magt +incdir+../ahb_sagt +incdir+../env +incdir+../test +incdir+../rtl +TOP = ../ahb_env/top.sv +PKG = ../ahb_test/ahb_test_pkg.sv +INC =+incdir+../ahb_master_agent +incdir+../ahb_slv_agent +incdir+../ahb_env +incdir+../ahb_test +incdir+../reset_agent +incdir+../rtl WORK = work VSIMOPT = -novopt -sva -sv_seed random -l s.log work.top +VLOGSUPP = -suppress 13291 +VSIMSUPP = -suppress 12110 + VSIMBATCH1 = -c -do "coverage save -onexit -assert -directive -cvg -codeAll cov1; run -all; exit" VSIMBATCH2 = -c -do "coverage save -onexit -assert -directive -cvg -codeAll cov2; run -all; exit" @@ -17,32 +19,32 @@ VSIMBATCH4 = -c -do "coverage save -onexit -assert -directive -cvg -codeAll cov4 lib: - vlib $(WORK) - vmap work $(WORK) + vlib $(WORK) + vmap work $(WORK) cmp: - vlog -work $(WORK) $(INC) $(RTL) $(PKG) $(TOP) + vlog -work $(WORK) $(INC) $(RTL) $(PKG) $(TOP) $(VLOGSUPP) run_gui: clean lib cmp - vsim -coverage -novopt -sva -sv_seed 3311333501 -l test4_sim.log work.top +UVM_TESTNAME=w4busy_test - vcover report -html cov + vsim $(VSIMSUPP) -coverage -novopt -sva -sv_seed 3311333501 -l test4_sim.log work.top +UVM_TESTNAME=w4busy_test + vcover report -html cov run_test1: clean lib cmp - vsim $(VSIMBATCH1) -coverage -novopt -sva -sv_seed random -l test1_sim.log work.top +UVM_TESTNAME=reset_test - vcover report -html cov1 + vsim $(VSIMBATCH1) $(VSIMSUPP) -coverage -novopt -sva -sv_seed random -l test1_sim.log work.top +UVM_TESTNAME=reset_test + vcover report -html cov1 run_test2: clean lib cmp - vsim $(VSIMBATCH2) -coverage -novopt -sva -sv_seed random -l test2_sim.log work.top +UVM_TESTNAME=inc4_test - vcover report -html cov2 + vsim $(VSIMBATCH2) $(VSIMSUPP) -coverage -novopt -sva -sv_seed random -l test2_sim.log work.top +UVM_TESTNAME=inc4_test + vcover report -html cov2 run_test3: clean lib cmp - vsim $(VSIMBATCH3) -coverage -novopt -sva -sv_seed random -l test3_sim.log work.top +UVM_TESTNAME=crt_test - vcover report -html cov3 + vsim $(VSIMBATCH3) $(VSIMSUPP) -coverage -novopt -sva -sv_seed random -l test3_sim.log work.top +UVM_TESTNAME=crt_test + vcover report -html cov3 run_test4: clean lib cmp - vsim $(VSIMBATCH4) -coverage -novopt -sva -sv_seed random -l test4_sim.log work.top +UVM_TESTNAME=w4busy_test - vcover report -html cov4 + vsim $(VSIMBATCH4) $(VSIMSUPP) -coverage -novopt -sva -sv_seed random -l test4_sim.log work.top +UVM_TESTNAME=w4busy_test + vcover report -html cov4 clean: - rm -rf modelsim.* transcript* *log* work vsim.wlf vish_* fcover* covhtml* cov* - clear + rm -rf modelsim.* transcript* *log* work vsim.wlf vish_* fcover* covhtml* cov* + clear