diff --git a/examples/uvmsc/integrated/apb/inc/apb_agent.h b/examples/uvmsc/integrated/apb/inc/apb_agent.h index 6c52db10..f83977ba 100644 --- a/examples/uvmsc/integrated/apb/inc/apb_agent.h +++ b/examples/uvmsc/integrated/apb/inc/apb_agent.h @@ -43,11 +43,11 @@ class apb_agent : public uvm::uvm_agent UVM_COMPONENT_UTILS(apb_agent); apb_agent(uvm::uvm_component_name name); - ~apb_agent(); + ~apb_agent() override; - virtual void build_phase(uvm::uvm_phase& phase); + void build_phase(uvm::uvm_phase& phase) override; - virtual void connect_phase(uvm::uvm_phase& phase); + void connect_phase(uvm::uvm_phase& phase) override; }; diff --git a/examples/uvmsc/integrated/apb/inc/apb_config.h b/examples/uvmsc/integrated/apb/inc/apb_config.h index fcd42491..d4014c01 100644 --- a/examples/uvmsc/integrated/apb/inc/apb_config.h +++ b/examples/uvmsc/integrated/apb/inc/apb_config.h @@ -26,6 +26,7 @@ #include #include +#include "integrated/apb/inc/apb_if.h" class apb_config : public uvm::uvm_object { diff --git a/examples/uvmsc/integrated/apb/inc/apb_master.h b/examples/uvmsc/integrated/apb/inc/apb_master.h index 8ff9da97..39cb6475 100644 --- a/examples/uvmsc/integrated/apb/inc/apb_master.h +++ b/examples/uvmsc/integrated/apb/inc/apb_master.h @@ -42,9 +42,9 @@ class apb_master : public uvm::uvm_driver apb_master(uvm::uvm_component_name name); - virtual void build_phase(uvm::uvm_phase & phase); + void build_phase(uvm::uvm_phase & phase) override; - virtual void run_phase(uvm::uvm_phase & phase); + void run_phase(uvm::uvm_phase & phase) override; private: void read(const sc_dt::sc_lv<32> & addr, sc_dt::sc_lv<32> & data); diff --git a/examples/uvmsc/integrated/apb/inc/apb_monitor.h b/examples/uvmsc/integrated/apb/inc/apb_monitor.h index f3c4f8fe..47c8e939 100644 --- a/examples/uvmsc/integrated/apb/inc/apb_monitor.h +++ b/examples/uvmsc/integrated/apb/inc/apb_monitor.h @@ -41,9 +41,9 @@ class apb_monitor : public uvm::uvm_monitor apb_monitor(uvm::uvm_component_name name); - virtual void build_phase(uvm::uvm_phase & phase); + void build_phase(uvm::uvm_phase & phase) override; - virtual void run_phase(uvm::uvm_phase & phase); + void run_phase(uvm::uvm_phase & phase) override; }; #endif /* APB_MONITOR_H_ */ diff --git a/examples/uvmsc/integrated/apb/inc/apb_rw.h b/examples/uvmsc/integrated/apb/inc/apb_rw.h index 45df44ee..f1e8b40f 100644 --- a/examples/uvmsc/integrated/apb/inc/apb_rw.h +++ b/examples/uvmsc/integrated/apb/inc/apb_rw.h @@ -50,12 +50,12 @@ class apb_rw : public uvm::uvm_sequence_item { apb_rw(const std::string & name = "apb_rw") : uvm::uvm_sequence_item(name) {} - virtual void do_print(const uvm::uvm_printer& printer) const; - virtual void do_pack(uvm::uvm_packer& p) const; - virtual void do_unpack(uvm::uvm_packer& p); - virtual void do_copy(const uvm::uvm_object& rhs); - virtual bool do_compare(const uvm_object& rhs, const uvm::uvm_comparer* comparer = nullptr) const; - std::string convert2string() const; + void do_print(const uvm::uvm_printer& printer) const override; + void do_pack(uvm::uvm_packer& p) const override; + void do_unpack(uvm::uvm_packer& p) override; + void do_copy(const uvm::uvm_object& rhs) override; + bool do_compare(const uvm_object& rhs, const uvm::uvm_comparer* comparer = nullptr) const override; + std::string convert2string() const override; }; #endif /* APB_RW_ */ diff --git a/examples/uvmsc/integrated/apb/inc/reg2apb_adapter.h b/examples/uvmsc/integrated/apb/inc/reg2apb_adapter.h index 02abbed7..2923be0d 100644 --- a/examples/uvmsc/integrated/apb/inc/reg2apb_adapter.h +++ b/examples/uvmsc/integrated/apb/inc/reg2apb_adapter.h @@ -36,9 +36,9 @@ class reg2apb_adapter : public uvm::uvm_reg_adapter reg2apb_adapter(const std::string & name = "reg2apb_adapter") : uvm::uvm_reg_adapter(name) {} - virtual uvm::uvm_sequence_item* reg2bus(const uvm::uvm_reg_bus_op &); + uvm::uvm_sequence_item* reg2bus(const uvm::uvm_reg_bus_op &) override; - virtual void bus2reg(const uvm::uvm_sequence_item *, uvm::uvm_reg_bus_op &); + void bus2reg(const uvm::uvm_sequence_item *, uvm::uvm_reg_bus_op &) override; }; #endif /* REG2APB_ADAPTER_H_ */ diff --git a/examples/uvmsc/integrated/ubus/test_lib.h b/examples/uvmsc/integrated/ubus/test_lib.h index 1dada706..c56633de 100644 --- a/examples/uvmsc/integrated/ubus/test_lib.h +++ b/examples/uvmsc/integrated/ubus/test_lib.h @@ -48,7 +48,7 @@ class ubus_example_base_test : public uvm::uvm_test test_pass = true; } - virtual void build_phase(uvm::uvm_phase& phase) + void build_phase(uvm::uvm_phase& phase) override { uvm::uvm_test::build_phase(phase); @@ -64,7 +64,7 @@ class ubus_example_base_test : public uvm::uvm_test printer->knobs.depth = 3; } - void end_of_elaboration_phase(uvm::uvm_phase& phase) + void end_of_elaboration_phase(uvm::uvm_phase& phase) override { // Set verbosity for the bus monitor for this demo if(ubus_example_tb0->ubus0->bus_monitor != nullptr) @@ -74,20 +74,20 @@ class ubus_example_base_test : public uvm::uvm_test this->sprint(printer), uvm::UVM_LOW); } - void run_phase(uvm::uvm_phase& phase) + void run_phase(uvm::uvm_phase& phase) override { //set a drain-time for the environment if desired sc_core::sc_time drain_time = sc_core::sc_time(50.0, sc_core::SC_NS); phase.get_objection()->set_drain_time(this, drain_time); } - void extract_phase(uvm::uvm_phase& phase) + void extract_phase(uvm::uvm_phase& phase) override { if(ubus_example_tb0->scoreboard0->sbd_error) test_pass = false; } - void report_phase(uvm::uvm_phase& phase) + void report_phase(uvm::uvm_phase& phase) override { if(test_pass) { @@ -99,7 +99,7 @@ class ubus_example_base_test : public uvm::uvm_test } } - void final_phase(uvm::uvm_phase& phase) + void final_phase(uvm::uvm_phase& phase) override { delete printer; } @@ -118,7 +118,7 @@ class test_read_modify_write : public ubus_example_base_test : ubus_example_base_test(name) {} - virtual void build_phase(uvm::uvm_phase& phase) + void build_phase(uvm::uvm_phase& phase) override { uvm::uvm_config_db::set(this, "ubus_example_tb0.ubus0.masters[0].sequencer.run_phase", @@ -144,7 +144,7 @@ class test_r8_w8_r4_w4 : public ubus_example_base_test : ubus_example_base_test(name) {} - virtual void build_phase(uvm::uvm_phase& phase) + void build_phase(uvm::uvm_phase& phase) override { ubus_example_base_test::build_phase(phase); @@ -170,7 +170,7 @@ class test_2m_4s : public ubus_example_base_test : ubus_example_base_test(name) {} - virtual void build_phase(uvm::uvm_phase& phase) + void build_phase(uvm::uvm_phase& phase) override { // Overides to the ubus_example_tb build_phase() // Set the topology to 2 masters, 4 slaves @@ -212,7 +212,7 @@ class test_2m_4s : public ubus_example_base_test ubus_example_base_test::build_phase(phase); } - void connect_phase(uvm::uvm_phase& phase) + void connect_phase(uvm::uvm_phase& phase) override { // Connect other slaves monitor to scoreboard ubus_example_tb0->ubus0->slaves[1]->monitor->item_collected_port.connect( @@ -225,7 +225,7 @@ class test_2m_4s : public ubus_example_base_test ubus_example_tb0->scoreboard0->item_collected_export); } - void end_of_elaboration_phase(uvm::uvm_phase& phase) + void end_of_elaboration_phase(uvm::uvm_phase& phase) override { // Set up slave address map for ubus0 (slaves[0] is overwritten here) ubus_example_tb0->ubus0->set_slave_address_map("slaves[0]", 0x0000, 0x3fff); @@ -236,7 +236,7 @@ class test_2m_4s : public ubus_example_base_test ubus_example_base_test::end_of_elaboration_phase(phase); } - virtual void final_phase(uvm::uvm_phase& phase) + void final_phase(uvm::uvm_phase& phase) override { loop_read_modify_write_seq::type_id::destroy(lrmw_seq); // clean-up } diff --git a/examples/uvmsc/integrated/ubus/ubus_example_master_seq_lib.h b/examples/uvmsc/integrated/ubus/ubus_example_master_seq_lib.h index e2f39704..404546f6 100644 --- a/examples/uvmsc/integrated/ubus/ubus_example_master_seq_lib.h +++ b/examples/uvmsc/integrated/ubus/ubus_example_master_seq_lib.h @@ -59,7 +59,7 @@ class incr_read_byte_seq : public ubus_base_sequence constraint transmit_del_ct { (incr_transmit_del <= 10); } */ - virtual void body() + void body() override { std::ostringstream str; str << get_sequence_path() @@ -109,7 +109,7 @@ class incr_write_byte_seq : public ubus_base_sequence constraint transmit_del_ct { (incr_transmit_del <= 10); } */ - virtual void body() + void body() override { std::ostringstream str; str << get_sequence_path() @@ -149,7 +149,7 @@ class incr_read_write_read_seq : public ubus_base_sequence incr_read_byte_seq* read0; incr_write_byte_seq* write0; - virtual void body() + void body() override { std::ostringstream str; str << get_sequence_path() @@ -186,7 +186,7 @@ class r8_w8_r4_w4_seq : public ubus_base_sequence //constraint start_address_ct { (start_address == 16'h4000); } - virtual void body() + void body() override { std::ostringstream str; str << get_sequence_path() @@ -239,7 +239,7 @@ class read_modify_write_seq : public ubus_base_sequence /* rand */ sc_dt::sc_uint<16> addr_check; sc_dt::sc_uint<8> m_data0_check; - virtual void body() + void body() override { std::ostringstream str; str << get_sequence_path() @@ -320,7 +320,7 @@ class loop_read_modify_write_seq : public ubus_base_sequence read_modify_write_seq* rmw_seq; - virtual void body() + void body() override { uvm::uvm_config_db::get(nullptr, get_full_name(), "itr", itr); diff --git a/examples/uvmsc/integrated/ubus/ubus_example_scoreboard.h b/examples/uvmsc/integrated/ubus/ubus_example_scoreboard.h index d71d366d..e0cc0f1b 100644 --- a/examples/uvmsc/integrated/ubus/ubus_example_scoreboard.h +++ b/examples/uvmsc/integrated/ubus/ubus_example_scoreboard.h @@ -50,7 +50,7 @@ class ubus_example_scoreboard : public uvm::uvm_scoreboard virtual void write(const ubus_transfer& trans); // report_phase - virtual void report_phase(uvm::uvm_phase& phase); + void report_phase(uvm::uvm_phase& phase) override; int sbd_error; diff --git a/examples/uvmsc/integrated/ubus/ubus_example_tb.h b/examples/uvmsc/integrated/ubus/ubus_example_tb.h index 2e88b76e..c55be315 100644 --- a/examples/uvmsc/integrated/ubus/ubus_example_tb.h +++ b/examples/uvmsc/integrated/ubus/ubus_example_tb.h @@ -60,7 +60,7 @@ class ubus_example_tb : public uvm::uvm_env {} // build_phase - virtual void build_phase(uvm::uvm_phase& phase) + void build_phase(uvm::uvm_phase& phase) override { uvm::uvm_env::build_phase(phase); @@ -74,14 +74,14 @@ class ubus_example_tb : public uvm::uvm_env assert(scoreboard0); } - void connect_phase(uvm::uvm_phase& phase) + void connect_phase(uvm::uvm_phase& phase) override { // Connect slave0 monitor to scoreboard ubus0->slaves[0]->monitor->item_collected_port.connect( scoreboard0->item_collected_export); } - void end_of_elaboration_phase(uvm::uvm_phase& phase) + void end_of_elaboration_phase(uvm::uvm_phase& phase) override { // Set up slave address map for ubus0 (basic default) ubus0->set_slave_address_map("slaves[0]", 0, 0xffff); diff --git a/examples/uvmsc/integrated/ubus/vip/slave_address_map_info.h b/examples/uvmsc/integrated/ubus/vip/slave_address_map_info.h index 48a1c3e2..7dcf96ae 100644 --- a/examples/uvmsc/integrated/ubus/vip/slave_address_map_info.h +++ b/examples/uvmsc/integrated/ubus/vip/slave_address_map_info.h @@ -65,13 +65,13 @@ class slave_address_map_info : public uvm::uvm_object } // added mandatory field functions - virtual void do_print(const uvm::uvm_printer& printer) const + void do_print(const uvm::uvm_printer& printer) const override { printer.print_field_int("min_addr", min_addr); printer.print_field_int("max_addr", max_addr); } - virtual void do_copy( const uvm::uvm_object& rhs ) + void do_copy( const uvm::uvm_object& rhs ) override { const slave_address_map_info* rhs_ = dynamic_cast(&rhs); @@ -83,8 +83,8 @@ class slave_address_map_info : public uvm::uvm_object max_addr = rhs_->max_addr; } - virtual bool do_compare(const uvm::uvm_object& rhs, - const uvm::uvm_comparer* comparer ) const + bool do_compare(const uvm::uvm_object& rhs, + const uvm::uvm_comparer* comparer ) const override { const slave_address_map_info* rhs_ = dynamic_cast(&rhs); @@ -96,7 +96,7 @@ class slave_address_map_info : public uvm::uvm_object && (max_addr == rhs_->max_addr)); } - std::string convert2string() const + std::string convert2string() const override { std::ostringstream str; str << "min_addr=" << min_addr diff --git a/examples/uvmsc/integrated/ubus/vip/ubus_bus_monitor.h b/examples/uvmsc/integrated/ubus/vip/ubus_bus_monitor.h index a2846a12..4faba201 100644 --- a/examples/uvmsc/integrated/ubus/vip/ubus_bus_monitor.h +++ b/examples/uvmsc/integrated/ubus/vip/ubus_bus_monitor.h @@ -85,8 +85,8 @@ class ubus_bus_monitor : public uvm::uvm_monitor ubus_bus_monitor(uvm::uvm_component_name name); void set_slave_configs(std::string slave_name, unsigned int min_addr, unsigned int max_addr); - void build_phase(uvm::uvm_phase& phase); - void run_phase(uvm::uvm_phase& phase); + void build_phase(uvm::uvm_phase& phase) override; + void run_phase(uvm::uvm_phase& phase) override; void observe_reset(); void check_reset_on_posedge(); void check_reset_on_negedge(); @@ -112,8 +112,8 @@ class ubus_bus_monitor : public uvm::uvm_monitor // The following two bits are used to control whether checks and coverage are // done both in the bus monitor class and the interface. - bool checks_enable; // default true - bool coverage_enable; // default true + bool checks_enable {false}; // default true in SV example, currently not available in UVM SystemC + bool coverage_enable {false}; // default true in SV example, currently not available in UVM SystemC // The state of the ubus ubus_status status; diff --git a/examples/uvmsc/integrated/ubus/vip/ubus_env.h b/examples/uvmsc/integrated/ubus/vip/ubus_env.h index 39ddff58..4c8c7830 100644 --- a/examples/uvmsc/integrated/ubus/vip/ubus_env.h +++ b/examples/uvmsc/integrated/ubus/vip/ubus_env.h @@ -57,10 +57,10 @@ class ubus_env : public uvm::uvm_env ubus_env(uvm::uvm_component_name name); - void build_phase(uvm::uvm_phase& phase); + void build_phase(uvm::uvm_phase& phase) override; void set_slave_address_map( std::string slave_name, int min_addr, int max_addr); - void run_phase(uvm::uvm_phase& phase); + void run_phase(uvm::uvm_phase& phase) override; protected: diff --git a/examples/uvmsc/integrated/ubus/vip/ubus_master_agent.h b/examples/uvmsc/integrated/ubus/vip/ubus_master_agent.h index 792a30a1..667c1e6f 100644 --- a/examples/uvmsc/integrated/ubus/vip/ubus_master_agent.h +++ b/examples/uvmsc/integrated/ubus/vip/ubus_master_agent.h @@ -46,9 +46,9 @@ class ubus_master_agent : public uvm::uvm_agent ubus_master_agent(uvm::uvm_component_name name); - void build_phase(uvm::uvm_phase& phase); + void build_phase(uvm::uvm_phase& phase) override; - void connect_phase(uvm::uvm_phase& phase); + void connect_phase(uvm::uvm_phase& phase) override; protected: int master_id; diff --git a/examples/uvmsc/integrated/ubus/vip/ubus_master_driver.h b/examples/uvmsc/integrated/ubus/vip/ubus_master_driver.h index 8b48fca6..b2ea0067 100644 --- a/examples/uvmsc/integrated/ubus/vip/ubus_master_driver.h +++ b/examples/uvmsc/integrated/ubus/vip/ubus_master_driver.h @@ -43,8 +43,8 @@ class ubus_master_driver : public uvm::uvm_driver ubus_master_driver(uvm::uvm_component_name name); - void build_phase(uvm::uvm_phase& phase); - virtual void run_phase(uvm::uvm_phase& phase); + void build_phase(uvm::uvm_phase& phase) override; + void run_phase(uvm::uvm_phase& phase) override; protected: diff --git a/examples/uvmsc/integrated/ubus/vip/ubus_master_monitor.h b/examples/uvmsc/integrated/ubus/vip/ubus_master_monitor.h index 0c34667f..72f07e81 100644 --- a/examples/uvmsc/integrated/ubus/vip/ubus_master_monitor.h +++ b/examples/uvmsc/integrated/ubus/vip/ubus_master_monitor.h @@ -80,8 +80,8 @@ class ubus_master_monitor : public uvm::uvm_monitor // constructor ubus_master_monitor( uvm::uvm_component_name name); - void build_phase(uvm::uvm_phase& phase); - void run_phase(uvm::uvm_phase& phase); + void build_phase(uvm::uvm_phase& phase) override; + void run_phase(uvm::uvm_phase& phase) override; protected: @@ -93,7 +93,7 @@ class ubus_master_monitor : public uvm::uvm_monitor virtual void check_transfer_size(); virtual void check_transfer_data_size(); virtual void perform_transfer_coverage(); - virtual void report_phase(uvm::uvm_phase& phase); + void report_phase(uvm::uvm_phase& phase) override; // data members private: diff --git a/examples/uvmsc/integrated/ubus/vip/ubus_master_seq_lib.h b/examples/uvmsc/integrated/ubus/vip/ubus_master_seq_lib.h index b14f386d..70eec9d2 100644 --- a/examples/uvmsc/integrated/ubus/vip/ubus_master_seq_lib.h +++ b/examples/uvmsc/integrated/ubus/vip/ubus_master_seq_lib.h @@ -25,9 +25,8 @@ #include #include +#include "integrated/ubus/vip/ubus_transfer.h" -// forward class declaration -class ubus_transfer; //------------------------------------------------------------------------------ // @@ -117,7 +116,7 @@ class read_byte_seq : public ubus_base_sequence UVM_OBJECT_UTILS(read_byte_seq); - virtual void body() + void body() override { /* TODO constraints using macro UVM_DO_WITH(req, @@ -151,7 +150,7 @@ class read_byte_seq : public ubus_base_sequence } - ~read_byte_seq() + ~read_byte_seq() override { ubus_transfer::type_id::destroy(req); ubus_transfer::type_id::destroy(rsp); @@ -188,7 +187,7 @@ class read_half_word_seq : public ubus_base_sequence UVM_OBJECT_UTILS(read_half_word_seq); - virtual void body() + void body() override { /* TODO constraints using macro UVM_DO_WITH(req, @@ -222,7 +221,7 @@ class read_half_word_seq : public ubus_base_sequence UVM_INFO(get_type_name(), msg.str(), uvm::UVM_HIGH); } - ~read_half_word_seq() + ~read_half_word_seq() override { ubus_transfer::type_id::destroy(req); ubus_transfer::type_id::destroy(rsp); @@ -260,7 +259,7 @@ class read_word_seq : public ubus_base_sequence UVM_OBJECT_UTILS(read_word_seq); - virtual void body() + void body() override { /* TODO constraints using macro UVM_DO_WITH(req, @@ -298,7 +297,7 @@ class read_word_seq : public ubus_base_sequence UVM_INFO(get_type_name(), msg.str(), uvm::UVM_HIGH); } - ~read_word_seq() + ~read_word_seq() override { ubus_transfer::type_id::destroy(req); ubus_transfer::type_id::destroy(rsp); @@ -335,7 +334,7 @@ class read_double_word_seq : public ubus_base_sequence UVM_OBJECT_UTILS(read_double_word_seq); - virtual void body() + void body() override { /* TODO constraints using macro UVM_DO_WITH(req, @@ -381,7 +380,7 @@ class read_double_word_seq : public ubus_base_sequence UVM_INFO(get_type_name(), msg.str(), uvm::UVM_HIGH); } - ~read_double_word_seq() + ~read_double_word_seq() override { ubus_transfer::type_id::destroy(req); ubus_transfer::type_id::destroy(rsp); @@ -419,7 +418,7 @@ class write_byte_seq : public ubus_base_sequence UVM_OBJECT_UTILS(write_byte_seq); - virtual void body() + void body() override { /* uvm_do_with(req, @@ -452,7 +451,7 @@ class write_byte_seq : public ubus_base_sequence UVM_INFO(get_type_name(), msg.str(), uvm::UVM_HIGH); } - ~write_byte_seq() + ~write_byte_seq() override { ubus_transfer::type_id::destroy(req); ubus_transfer::type_id::destroy(rsp); @@ -489,7 +488,7 @@ class write_half_word_seq : public ubus_base_sequence UVM_OBJECT_UTILS(write_half_word_seq); - virtual void body() + void body() override { /* uvm_do_with(req, @@ -524,7 +523,7 @@ class write_half_word_seq : public ubus_base_sequence UVM_INFO(get_type_name(), msg.str(), uvm::UVM_HIGH); } - ~write_half_word_seq() + ~write_half_word_seq() override { ubus_transfer::type_id::destroy(req); } @@ -565,7 +564,7 @@ class write_word_seq : public ubus_base_sequence UVM_OBJECT_UTILS(write_word_seq); - virtual void body() + void body() override { /* UVM_DO_WITH(req, @@ -607,7 +606,7 @@ class write_word_seq : public ubus_base_sequence UVM_INFO(get_type_name(), msg.str(), uvm::UVM_HIGH); } - ~write_word_seq() + ~write_word_seq() override { ubus_transfer::type_id::destroy(req); } @@ -651,7 +650,7 @@ class write_double_word_seq : public ubus_base_sequence UVM_OBJECT_UTILS(write_double_word_seq); - virtual void body() + void body() override { /* uvm_do_with(req, @@ -707,7 +706,7 @@ class write_double_word_seq : public ubus_base_sequence UVM_INFO(get_type_name(), msg.str(), uvm::UVM_HIGH); } - ~write_double_word_seq() + ~write_double_word_seq() override { ubus_transfer::type_id::destroy(req); } diff --git a/examples/uvmsc/integrated/ubus/vip/ubus_master_sequencer.h b/examples/uvmsc/integrated/ubus/vip/ubus_master_sequencer.h index 3bf5fd83..a3ed95e6 100644 --- a/examples/uvmsc/integrated/ubus/vip/ubus_master_sequencer.h +++ b/examples/uvmsc/integrated/ubus/vip/ubus_master_sequencer.h @@ -25,6 +25,7 @@ #include #include +#include "integrated/ubus/vip/ubus_transfer.h" //------------------------------------------------------------------------------ // Class: ubus_master_sequencer diff --git a/examples/uvmsc/integrated/ubus/vip/ubus_slave_agent.h b/examples/uvmsc/integrated/ubus/vip/ubus_slave_agent.h index 5fd6bc60..b118bd60 100644 --- a/examples/uvmsc/integrated/ubus/vip/ubus_slave_agent.h +++ b/examples/uvmsc/integrated/ubus/vip/ubus_slave_agent.h @@ -47,9 +47,9 @@ class ubus_slave_agent : public uvm::uvm_agent ubus_slave_agent(uvm::uvm_component_name name); - virtual void build_phase(uvm::uvm_phase& phase); + void build_phase(uvm::uvm_phase& phase) override; - void connect_phase(uvm::uvm_phase& phase); + void connect_phase(uvm::uvm_phase& phase) override; }; // class ubus_slave_agent diff --git a/examples/uvmsc/integrated/ubus/vip/ubus_slave_driver.h b/examples/uvmsc/integrated/ubus/vip/ubus_slave_driver.h index 229713d9..77284399 100644 --- a/examples/uvmsc/integrated/ubus/vip/ubus_slave_driver.h +++ b/examples/uvmsc/integrated/ubus/vip/ubus_slave_driver.h @@ -42,8 +42,8 @@ class ubus_slave_driver : public uvm::uvm_driver ubus_slave_driver(uvm::uvm_component_name name); - void build_phase(uvm::uvm_phase& phase); - virtual void run_phase(uvm::uvm_phase& phase); + void build_phase(uvm::uvm_phase& phase) override; + void run_phase(uvm::uvm_phase& phase) override; protected: diff --git a/examples/uvmsc/integrated/ubus/vip/ubus_slave_monitor.h b/examples/uvmsc/integrated/ubus/vip/ubus_slave_monitor.h index ab53be6c..bac52215 100644 --- a/examples/uvmsc/integrated/ubus/vip/ubus_slave_monitor.h +++ b/examples/uvmsc/integrated/ubus/vip/ubus_slave_monitor.h @@ -77,14 +77,14 @@ class ubus_slave_monitor : public uvm::uvm_monitor // Provide implementations of virtual methods such as get_type_name and create UVM_COMPONENT_UTILS(ubus_slave_monitor); - void build_phase(uvm::uvm_phase& phase); + void build_phase(uvm::uvm_phase& phase) override; void set_addr_range( unsigned int min_addr_, unsigned int max_addr_); unsigned int get_min_addr(); unsigned int get_max_addr(); - virtual void run_phase(uvm::uvm_phase& phase); - virtual void report_phase(uvm::uvm_phase& phase); + void run_phase(uvm::uvm_phase& phase) override; + void report_phase(uvm::uvm_phase& phase) override; //void peek(ubus_transfer& trans); ubus_transfer peek(); diff --git a/examples/uvmsc/integrated/ubus/vip/ubus_slave_seq_lib.h b/examples/uvmsc/integrated/ubus/vip/ubus_slave_seq_lib.h index 94606af9..d4ef5b67 100644 --- a/examples/uvmsc/integrated/ubus/vip/ubus_slave_seq_lib.h +++ b/examples/uvmsc/integrated/ubus/vip/ubus_slave_seq_lib.h @@ -54,7 +54,7 @@ class simple_response_seq : public uvm::uvm_sequence UVM_OBJECT_UTILS(simple_response_seq); - virtual void body() + void body() override { p_sequencer = dynamic_cast(m_sequencer); @@ -85,7 +85,7 @@ class simple_response_seq : public uvm::uvm_sequence } } - ~simple_response_seq() + ~simple_response_seq() override { ubus_transfer::type_id::destroy(req); } @@ -115,7 +115,7 @@ class slave_memory_seq : public uvm::uvm_sequence UVM_DECLARE_P_SEQUENCER(ubus_slave_sequencer); - virtual void pre_do(bool is_item) + void pre_do(bool is_item) override { // Update the properties that are relevant to both read and write req->size = util_transfer.size; @@ -144,7 +144,7 @@ class slave_memory_seq : public uvm::uvm_sequence } } - void post_do(uvm_sequence_item* item) + void post_do(uvm_sequence_item* item) override { ubus_transfer* trans = dynamic_cast(item); @@ -159,7 +159,7 @@ class slave_memory_seq : public uvm::uvm_sequence } } - virtual void body() + void body() override { UVM_INFO(get_type_name(), get_sequence_path() + " starting...", uvm::UVM_MEDIUM); @@ -184,7 +184,7 @@ class slave_memory_seq : public uvm::uvm_sequence } } - ~slave_memory_seq() + ~slave_memory_seq() override { ubus_transfer::type_id::destroy(req); // delete sequence from memory } diff --git a/examples/uvmsc/integrated/ubus/vip/ubus_slave_sequencer.h b/examples/uvmsc/integrated/ubus/vip/ubus_slave_sequencer.h index c077059d..efe75621 100644 --- a/examples/uvmsc/integrated/ubus/vip/ubus_slave_sequencer.h +++ b/examples/uvmsc/integrated/ubus/vip/ubus_slave_sequencer.h @@ -25,9 +25,7 @@ #include #include - -// forward class declaration -class ubus_transfer; +#include "integrated/ubus/vip/ubus_transfer.h" //------------------------------------------------------------------------------ // Class: ubus_slave_sequencer diff --git a/examples/uvmsc/integrated/ubus/vip/ubus_transfer.h b/examples/uvmsc/integrated/ubus/vip/ubus_transfer.h index ed94d477..0cc29807 100644 --- a/examples/uvmsc/integrated/ubus/vip/ubus_transfer.h +++ b/examples/uvmsc/integrated/ubus/vip/ubus_transfer.h @@ -96,12 +96,12 @@ class ubus_transfer : public uvm::uvm_sequence_item */ ubus_transfer(const std::string& name = "ubus_transfer_inst"); - virtual void do_print(const uvm::uvm_printer& printer) const; - virtual void do_pack(uvm::uvm_packer& p) const; - virtual void do_unpack(uvm::uvm_packer& p); - virtual void do_copy(const uvm::uvm_object& rhs); - virtual bool do_compare(const uvm::uvm_object& rhs, const uvm::uvm_comparer* comparer = nullptr ) const; - std::string convert2string() const; + void do_print(const uvm::uvm_printer& printer) const override; + void do_pack(uvm::uvm_packer& p) const override; + void do_unpack(uvm::uvm_packer& p) override; + void do_copy(const uvm::uvm_object& rhs) override; + bool do_compare(const uvm::uvm_object& rhs, const uvm::uvm_comparer* comparer = nullptr ) const override; + std::string convert2string() const override; }; diff --git a/examples/uvmsc/simple/callbacks/basic/bus_driver.h b/examples/uvmsc/simple/callbacks/basic/bus_driver.h index 8121db9f..8a1407d1 100644 --- a/examples/uvmsc/simple/callbacks/basic/bus_driver.h +++ b/examples/uvmsc/simple/callbacks/basic/bus_driver.h @@ -55,7 +55,7 @@ class bus_driver : public uvm::uvm_component in("in", this) {} - virtual const std::string get_type_name() const + const std::string get_type_name() const override { return "bus_driver"; } diff --git a/examples/uvmsc/simple/callbacks/basic/bus_driver_cb.h b/examples/uvmsc/simple/callbacks/basic/bus_driver_cb.h index 0d440afd..01e09794 100644 --- a/examples/uvmsc/simple/callbacks/basic/bus_driver_cb.h +++ b/examples/uvmsc/simple/callbacks/basic/bus_driver_cb.h @@ -25,7 +25,6 @@ #include #include "bus_tr.h" -#include "bus_driver.h" // forward class declarations class bus_driver; @@ -56,7 +55,7 @@ class bus_driver_cb : public uvm::uvm_callback bus_driver_cb( std::string name="bus_driver_cb_inst") : uvm::uvm_callback(name) {} - virtual const std::string get_type_name() const + const std::string get_type_name() const override { return "bus_driver_cb"; } diff --git a/examples/uvmsc/simple/callbacks/basic/bus_tr.h b/examples/uvmsc/simple/callbacks/basic/bus_tr.h index de136dc6..eee3c556 100644 --- a/examples/uvmsc/simple/callbacks/basic/bus_tr.h +++ b/examples/uvmsc/simple/callbacks/basic/bus_tr.h @@ -43,7 +43,7 @@ class bus_tr : public uvm::uvm_transaction UVM_OBJECT_UTILS(bus_tr); - virtual std::string convert2string() const + std::string convert2string() const override { std::ostringstream str; str << "addr=" << addr << " data=" << data; diff --git a/examples/uvmsc/simple/callbacks/basic/my_bus_driver_cb.h b/examples/uvmsc/simple/callbacks/basic/my_bus_driver_cb.h index c756691f..024c55c0 100644 --- a/examples/uvmsc/simple/callbacks/basic/my_bus_driver_cb.h +++ b/examples/uvmsc/simple/callbacks/basic/my_bus_driver_cb.h @@ -43,7 +43,7 @@ class my_bus_driver_cb : public bus_driver_cb my_bus_driver_cb( std::string name = "bus_driver_cb_inst" ) : bus_driver_cb(name) {} - virtual bool trans_received( bus_driver* driver, const bus_tr& tr) + bool trans_received( bus_driver* driver, const bus_tr& tr) override { static bool drop = 0; driver->uvm_report_info("trans_received_cb", @@ -52,13 +52,13 @@ class my_bus_driver_cb : public bus_driver_cb return drop; } - virtual void trans_executed( bus_driver* driver, const bus_tr& tr) + void trans_executed( bus_driver* driver, const bus_tr& tr) override { driver->uvm_report_info("trans_executed_cb", " bus_driver=" + driver->get_full_name() + " tr=" + tr.convert2string() ); } - virtual const std::string get_type_name() const + const std::string get_type_name() const override { return "my_bus_driver_cb"; } diff --git a/examples/uvmsc/simple/callbacks/basic/my_bus_driver_cb2.h b/examples/uvmsc/simple/callbacks/basic/my_bus_driver_cb2.h index a18e7bf6..16137e9b 100644 --- a/examples/uvmsc/simple/callbacks/basic/my_bus_driver_cb2.h +++ b/examples/uvmsc/simple/callbacks/basic/my_bus_driver_cb2.h @@ -41,13 +41,13 @@ class my_bus_driver_cb2 : public bus_driver_cb my_bus_driver_cb2( std::string name = "bus_driver_cb_inst" ) : bus_driver_cb(name) {} - virtual void trans_executed( bus_driver* driver, const bus_tr& tr ) + void trans_executed( bus_driver* driver, const bus_tr& tr ) override { driver->uvm_report_info("trans_executed_cb2", " bus_driver=" + driver->get_full_name() + " tr=" + tr.convert2string() ); } - virtual const std::string get_type_name() const + const std::string get_type_name() const override { return "my_bus_driver_cb2"; } diff --git a/examples/uvmsc/simple/callbacks/basic/top.h b/examples/uvmsc/simple/callbacks/basic/top.h index 2dd8b656..d3c5b951 100644 --- a/examples/uvmsc/simple/callbacks/basic/top.h +++ b/examples/uvmsc/simple/callbacks/basic/top.h @@ -60,7 +60,7 @@ class top : public uvm::uvm_env UVM_COMPONENT_UTILS(top); - void build_phase(uvm::uvm_phase& phase) + void build_phase(uvm::uvm_phase& phase) override { uvm::uvm_env::build_phase(phase); @@ -79,7 +79,7 @@ class top : public uvm::uvm_env bus_driver_cbs_t::display(); } - void run_phase(uvm::uvm_phase& phase) + void run_phase(uvm::uvm_phase& phase) override { phase.raise_objection(this); @@ -96,7 +96,7 @@ class top : public uvm::uvm_env phase.drop_objection(this); } - virtual ~top() + ~top() override { delete driver; delete cb1; diff --git a/examples/uvmsc/simple/configuration/manual/classA.h b/examples/uvmsc/simple/configuration/manual/classA.h index b6ef227e..6f5a7e10 100644 --- a/examples/uvmsc/simple/configuration/manual/classA.h +++ b/examples/uvmsc/simple/configuration/manual/classA.h @@ -20,7 +20,7 @@ #ifndef CLASSA_H_ #define CLASSA_H_ - +#include #include "classC.h" class A : public uvm::uvm_component @@ -34,7 +34,7 @@ class A : public uvm::uvm_component A(uvm::uvm_component_name name) : uvm::uvm_component(name), debug(0) {} - void build_phase(uvm::uvm_phase& phase) + void build_phase(uvm::uvm_phase& phase) override { u1 = C::type_id::create("u1",this); assert(u1); @@ -47,7 +47,7 @@ class A : public uvm::uvm_component std::cout << get_full_name() << ": In Build: debug = " << debug << std::endl; } - void do_print(const uvm::uvm_printer& printer) const + void do_print(const uvm::uvm_printer& printer) const override { printer.print_field_int("debug", debug, sizeof(debug)*CHAR_BIT); } diff --git a/examples/uvmsc/simple/configuration/manual/classB.h b/examples/uvmsc/simple/configuration/manual/classB.h index aafd9cfc..226aa19a 100644 --- a/examples/uvmsc/simple/configuration/manual/classB.h +++ b/examples/uvmsc/simple/configuration/manual/classB.h @@ -32,7 +32,7 @@ class B : public uvm::uvm_component B(uvm::uvm_component_name name) : uvm::uvm_component(name), debug(0) {} - void build_phase(uvm::uvm_phase& phase) + void build_phase(uvm::uvm_phase& phase) override { u1 = C::type_id::create("u1", this); @@ -44,7 +44,7 @@ class B : public uvm::uvm_component std::cout << get_full_name() << ": In Build: debug = " << debug << std::endl; } - void do_print(const uvm::uvm_printer& printer) const + void do_print(const uvm::uvm_printer& printer) const override { printer.print_field_int("debug", debug, sizeof(debug)*CHAR_BIT); } diff --git a/examples/uvmsc/simple/configuration/manual/classC.h b/examples/uvmsc/simple/configuration/manual/classC.h index a40e4a61..e6c1f18c 100644 --- a/examples/uvmsc/simple/configuration/manual/classC.h +++ b/examples/uvmsc/simple/configuration/manual/classC.h @@ -21,6 +21,8 @@ #ifndef CLASSC_H_ #define CLASSC_H_ +#include + class C : public uvm::uvm_component { int v; @@ -32,7 +34,7 @@ class C : public uvm::uvm_component C(uvm::uvm_component_name name ) : uvm::uvm_component(name) , v(0), s(0) {} - void build_phase(uvm::uvm_phase& phase) + void build_phase(uvm::uvm_phase& phase) override { std::string str; uvm_component::build_phase(phase); @@ -44,7 +46,7 @@ class C : public uvm::uvm_component if( uvm::uvm_config_db::get(this, "", "myaa[foobar]", str) ) myaa["foobar"] = str; } - void do_print(const uvm::uvm_printer& printer) const + void do_print(const uvm::uvm_printer& printer) const override { printer.print_field_int("v", v, sizeof(v)*CHAR_BIT); printer.print_field_int("s", s, sizeof(s)*CHAR_BIT); diff --git a/examples/uvmsc/simple/configuration/manual/my_env.h b/examples/uvmsc/simple/configuration/manual/my_env.h index 7e08d8ec..cf40ce1d 100644 --- a/examples/uvmsc/simple/configuration/manual/my_env.h +++ b/examples/uvmsc/simple/configuration/manual/my_env.h @@ -22,7 +22,7 @@ #define MY_ENV_H_ #include - +#include #include "classA.h" #include "classB.h" @@ -39,7 +39,7 @@ class my_env : public uvm::uvm_env my_env(uvm::uvm_component_name name) : uvm::uvm_env(name), debug(0) {} - void build_phase(uvm::uvm_phase& phase) + void build_phase(uvm::uvm_phase& phase) override { uvm_env::build_phase(phase); @@ -56,12 +56,12 @@ class my_env : public uvm::uvm_env std::cout << get_full_name() << ": In Build: debug = " << debug << std::endl; } - void do_print(const uvm::uvm_printer& printer) const + void do_print(const uvm::uvm_printer& printer) const override { printer.print_field_int("debug", debug, sizeof(debug)*CHAR_BIT); } - void run_phase(uvm::uvm_phase& phase) + void run_phase(uvm::uvm_phase& phase) override { phase.raise_objection(this); @@ -71,7 +71,7 @@ class my_env : public uvm::uvm_env phase.drop_objection(this); } - void report_phase(uvm::uvm_phase& phase) + void report_phase(uvm::uvm_phase& phase) override { // print information of variables in resource pool uvm::uvm_resource_db<>::dump(); diff --git a/examples/uvmsc/simple/factory/basic/env.h b/examples/uvmsc/simple/factory/basic/env.h index a13959cb..42db4b0f 100644 --- a/examples/uvmsc/simple/factory/basic/env.h +++ b/examples/uvmsc/simple/factory/basic/env.h @@ -35,13 +35,13 @@ class env : public uvm::uvm_env { } - void build_phase(uvm::uvm_phase& phase) + void build_phase(uvm::uvm_phase& phase) override { // instantiate environment using the factory gen1 = gen::type_id::create("gen1", this); } - void run_phase(uvm::uvm_phase& phase) + void run_phase(uvm::uvm_phase& phase) override { phase.raise_objection(this); int i; diff --git a/examples/uvmsc/simple/factory/basic/packet.h b/examples/uvmsc/simple/factory/basic/packet.h index 3871684b..99501286 100644 --- a/examples/uvmsc/simple/factory/basic/packet.h +++ b/examples/uvmsc/simple/factory/basic/packet.h @@ -37,20 +37,20 @@ class packet : public uvm::uvm_object : uvm::uvm_object(name), addr(0x1234), data(0x5678) {} - void do_print(const uvm::uvm_printer& printer) const + void do_print(const uvm::uvm_printer& printer) const override { printer.print_field_int("addr", addr); printer.print_field_int("data", data); } - void do_copy(const uvm::uvm_object& rhs) + void do_copy(const uvm::uvm_object& rhs) override { const packet* drhs = dynamic_cast(&rhs); addr = drhs->addr; data = drhs->data; } - bool do_compare(const uvm::uvm_object& rhs, const uvm::uvm_comparer* comparer = nullptr) const + bool do_compare(const uvm::uvm_object& rhs, const uvm::uvm_comparer* comparer = nullptr) const override { const packet* drhs = dynamic_cast(&rhs); @@ -63,12 +63,12 @@ class packet : public uvm::uvm_object return true; } - void do_pack(uvm::uvm_packer& packet) const + void do_pack(uvm::uvm_packer& packet) const override { packet << addr << data; } - void do_unpack(uvm::uvm_packer& packet) + void do_unpack(uvm::uvm_packer& packet) override { packet >> addr >> data; } diff --git a/examples/uvmsc/simple/factory/basic/top.h b/examples/uvmsc/simple/factory/basic/top.h index 70263aed..1b838f79 100644 --- a/examples/uvmsc/simple/factory/basic/top.h +++ b/examples/uvmsc/simple/factory/basic/top.h @@ -29,7 +29,7 @@ class mygen : public gen public: mygen(uvm::uvm_component_name name) : gen(name) {} - packet* get_packet() + packet* get_packet() override { std::ostringstream str; str << "Getting a packet from " << get_full_name() @@ -60,7 +60,7 @@ class top : public uvm::uvm_env { } - void build_phase(uvm::uvm_phase& phase) + void build_phase(uvm::uvm_phase& phase) override { set_inst_override("e.gen1", "gen", "mygen"); set_type_override("packet","mypacket"); @@ -72,7 +72,7 @@ class top : public uvm::uvm_env uvm::uvm_factory::get()->print(1); } - void report_phase(uvm::uvm_phase& phase) + void report_phase(uvm::uvm_phase& phase) override { // print hierachy of UVM components uvm::uvm_root::get()->print_topology(); diff --git a/examples/uvmsc/simple/hello_world/consumer.h b/examples/uvmsc/simple/hello_world/consumer.h index 3d480fc5..a5f6dcd7 100644 --- a/examples/uvmsc/simple/hello_world/consumer.h +++ b/examples/uvmsc/simple/hello_world/consumer.h @@ -44,7 +44,7 @@ class consumer : public uvm::uvm_component UVM_COMPONENT_UTILS(consumer); - virtual void run_phase(uvm::uvm_phase& phase) + void run_phase(uvm::uvm_phase& phase) override { T p; while(out.size()) diff --git a/examples/uvmsc/simple/hello_world/packet.h b/examples/uvmsc/simple/hello_world/packet.h index 09f60d6b..04d5c5bc 100644 --- a/examples/uvmsc/simple/hello_world/packet.h +++ b/examples/uvmsc/simple/hello_world/packet.h @@ -39,7 +39,7 @@ class packet : public uvm::uvm_transaction : uvm_transaction(name) {} - virtual void do_print(const uvm::uvm_printer& printer) const + void do_print(const uvm::uvm_printer& printer) const override { printer.print_field_int("addr", addr); } diff --git a/examples/uvmsc/simple/hello_world/producer.h b/examples/uvmsc/simple/hello_world/producer.h index 58dd3de8..59a116a1 100644 --- a/examples/uvmsc/simple/hello_world/producer.h +++ b/examples/uvmsc/simple/hello_world/producer.h @@ -47,7 +47,7 @@ class producer : public uvm::uvm_component `uvm_component_utils_end */ - virtual void run_phase( uvm::uvm_phase& phase ) + void run_phase( uvm::uvm_phase& phase ) override { T p; std::stringstream num; diff --git a/examples/uvmsc/simple/hello_world/top.h b/examples/uvmsc/simple/hello_world/top.h index 37241d28..d2c155d1 100644 --- a/examples/uvmsc/simple/hello_world/top.h +++ b/examples/uvmsc/simple/hello_world/top.h @@ -53,7 +53,7 @@ class top : public uvm::uvm_component c.out.connect(f); // f.get_export } - virtual void run_phase( uvm::uvm_phase& phase ) + void run_phase( uvm::uvm_phase& phase ) override { phase.raise_objection(this); sc_core::wait(1.0, sc_core::SC_MS); // 1ms diff --git a/examples/uvmsc/simple/objections/basic/test.cpp b/examples/uvmsc/simple/objections/basic/test.cpp index 7db4b065..445b9efa 100644 --- a/examples/uvmsc/simple/objections/basic/test.cpp +++ b/examples/uvmsc/simple/objections/basic/test.cpp @@ -55,7 +55,7 @@ class simple_test: public uvm_test // Register with the factory. UVM_COMPONENT_UTILS(simple_test); - void run_phase(uvm_phase& phase) + void run_phase(uvm_phase& phase) override { // Set a drain time on the objection @@ -75,7 +75,7 @@ class simple_test: public uvm_test SC_JOIN } - void report_phase( uvm_phase& phase ) + void report_phase( uvm_phase& phase ) override { uvm_report_info(get_name(), "Ended all doit's", UVM_NONE); } @@ -103,10 +103,10 @@ class simple_test: public uvm_test // Use an objection callback do something when objections are raised or // dropped (or all dropped). This example prints some information on each // drop. - virtual void dropped( uvm_objection* objection, + void dropped( uvm_objection* objection, uvm_object* source_obj, const std::string& description, - int count ) + int count ) override { std::ostringstream str; str << count diff --git a/examples/uvmsc/simple/phases/basic/test.cpp b/examples/uvmsc/simple/phases/basic/test.cpp index 16d50733..7835d145 100644 --- a/examples/uvmsc/simple/phases/basic/test.cpp +++ b/examples/uvmsc/simple/phases/basic/test.cpp @@ -48,49 +48,49 @@ class D : public uvm_component // constructor D(uvm_component_name name) : uvm_component(name) {} - void build_phase(uvm_phase& phase) + void build_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void connect_phase(uvm_phase& phase) + void connect_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void end_of_elaboration_phase(uvm_phase& phase) + void end_of_elaboration_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void start_of_simulation_phase(uvm_phase& phase) + void start_of_simulation_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void extract_phase(uvm_phase& phase) + void extract_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void check_phase(uvm_phase& phase) + void check_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void report_phase(uvm_phase& phase) + void report_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void final_phase(uvm_phase& phase) + void final_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; @@ -107,49 +107,49 @@ class B : public uvm_component delay = sc_time(1.1, SC_MS); } - void build_phase(uvm_phase& phase) + void build_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void connect_phase(uvm_phase& phase) + void connect_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void end_of_elaboration_phase(uvm_phase& phase) + void end_of_elaboration_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void start_of_simulation_phase(uvm_phase& phase) + void start_of_simulation_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void extract_phase(uvm_phase& phase) + void extract_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void check_phase(uvm_phase& phase) + void check_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void report_phase(uvm_phase& phase) + void report_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void run_phase(uvm_phase& phase) + void run_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " start phase: " << phase.get_name() << std::endl; @@ -173,55 +173,55 @@ class A : public uvm_component delay = sc_time(5.5, SC_MS); } - void build_phase(uvm_phase& phase) + void build_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void connect_phase(uvm_phase& phase) + void connect_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void end_of_elaboration_phase(uvm_phase& phase) + void end_of_elaboration_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void start_of_simulation_phase(uvm_phase& phase) + void start_of_simulation_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void extract_phase(uvm_phase& phase) + void extract_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void check_phase(uvm_phase& phase) + void check_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void report_phase(uvm_phase& phase) + void report_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void final_phase(uvm_phase& phase) + void final_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void run_phase(uvm_phase& phase) + void run_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " start phase: " << phase.get_name() << std::endl; @@ -231,7 +231,7 @@ class A : public uvm_component } // destructor - virtual ~A() + ~A() override { delete b1; delete d1; @@ -255,7 +255,7 @@ class AA : public uvm_component } // destructor - virtual ~AA() + ~AA() override { delete a; } @@ -273,55 +273,55 @@ class top : public uvm_env a2 = new AA("a2"); } - void build_phase(uvm_phase& phase) + void build_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void connect_phase(uvm_phase& phase) + void connect_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void end_of_elaboration_phase(uvm_phase& phase) + void end_of_elaboration_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void start_of_simulation_phase(uvm_phase& phase) + void start_of_simulation_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void extract_phase(uvm_phase& phase) + void extract_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void check_phase(uvm_phase& phase) + void check_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void report_phase(uvm_phase& phase) + void report_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void final_phase(uvm_phase& phase) + void final_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void run_phase(uvm_phase& phase) + void run_phase(uvm_phase& phase) override { phase.raise_objection(this); std::cout << sc_time_stamp() << ": " << get_full_name() @@ -333,7 +333,7 @@ class top : public uvm_env } // destructor - virtual ~top() + ~top() override { delete a1; delete a2; diff --git a/examples/uvmsc/simple/phases/timeout/tb_env.h b/examples/uvmsc/simple/phases/timeout/tb_env.h index 894294a0..1c10f4bc 100644 --- a/examples/uvmsc/simple/phases/timeout/tb_env.h +++ b/examples/uvmsc/simple/phases/timeout/tb_env.h @@ -1,5 +1,5 @@ //---------------------------------------------------------------------- -// Copyright 2013-2014 NXP B.V. +// Copyright 2013-2025 NXP B.V. // Copyright 2011 Synopsys, Inc. // All Rights Reserved // @@ -32,35 +32,35 @@ class tb_env : public uvm::uvm_env tb_env(uvm::uvm_component_name name) : uvm::uvm_env(name) {} - void build_phase(uvm::uvm_phase& phase) + void build_phase(uvm::uvm_phase& phase) override { uvm::uvm_config_db::set(nullptr, "global_timer.*", "timeout", sc_core::sc_time(1000, sc_core::SC_US)); uvm::uvm_config_db::set(nullptr, "global_timer.main", "timeout", sc_core::sc_time(3000, sc_core::SC_US)); uvm::uvm_config_db::set(nullptr, "global_timer.run", "timeout", sc_core::sc_time(0, sc_core::SC_US)); } - void reset_phase(uvm::uvm_phase& phase) + void reset_phase(uvm::uvm_phase& phase) override { phase.raise_objection(this); sc_core::wait(20, sc_core::SC_US); phase.drop_objection(this); } - void configure_phase(uvm::uvm_phase& phase) + void configure_phase(uvm::uvm_phase& phase) override { phase.raise_objection(this); sc_core::wait(200, sc_core::SC_US); phase.drop_objection(this); } - void main_phase(uvm::uvm_phase& phase) + void main_phase(uvm::uvm_phase& phase) override { phase.raise_objection(this); sc_core::wait(1000, sc_core::SC_US); phase.drop_objection(this); } - void shutdown_phase(uvm::uvm_phase& phase) + void shutdown_phase(uvm::uvm_phase& phase) override { phase.raise_objection(this); sc_core::wait(10, sc_core::SC_US); diff --git a/examples/uvmsc/simple/phases/timeout/tb_timer.h b/examples/uvmsc/simple/phases/timeout/tb_timer.h index cdb69be6..4dc71d7b 100644 --- a/examples/uvmsc/simple/phases/timeout/tb_timer.h +++ b/examples/uvmsc/simple/phases/timeout/tb_timer.h @@ -30,143 +30,143 @@ class tb_timer : public uvm::uvm_component tb_timer(uvm::uvm_component_name name) : uvm_component(name) { std::cout << "tb_timer" << std::endl;} - void run_phase(uvm::uvm_phase& phase) + void run_phase(uvm::uvm_phase& phase) override { sc_core::sc_time t; if (uvm::uvm_config_db::get(this, "run", "timeout", t) && - t.to_seconds() > 0) + t > sc_core::SC_ZERO_TIME) { sc_core::wait(t); UVM_FATAL("TIMEOUT", "Time-out expired in run phase"); } } - void pre_reset_phase(uvm::uvm_phase& phase) + void pre_reset_phase(uvm::uvm_phase& phase) override { sc_core::sc_time t; if (uvm::uvm_config_db::get(this, "pre_reset", "timeout", t) && - t.to_seconds() > 0) + t > sc_core::SC_ZERO_TIME) { sc_core::wait(t); UVM_FATAL("TIMEOUT", "Time-out expired in pre_reset phase"); } } - void reset_phase(uvm::uvm_phase& phase) + void reset_phase(uvm::uvm_phase& phase) override { sc_core::sc_time t; if (uvm::uvm_config_db::get(this, "reset", "timeout", t) && - t.to_seconds() > 0) + t > sc_core::SC_ZERO_TIME) { sc_core::wait(t); UVM_FATAL("TIMEOUT", "Time-out expired in reset phase"); } } - void post_reset_phase(uvm::uvm_phase& phase) + void post_reset_phase(uvm::uvm_phase& phase) override { sc_core::sc_time t; if (uvm::uvm_config_db::get(this, "post_reset", "timeout", t) && - t.to_seconds() > 0) + t > sc_core::SC_ZERO_TIME) { sc_core::wait(t); UVM_FATAL("TIMEOUT", "Time-out expired in post_reset phase"); } } - void pre_configure_phase(uvm::uvm_phase& phase) + void pre_configure_phase(uvm::uvm_phase& phase) override { sc_core::sc_time t; if (uvm::uvm_config_db::get(this, "pre_configure", "timeout", t) && - t.to_seconds() > 0) + t > sc_core::SC_ZERO_TIME) { sc_core::wait(t); UVM_FATAL("TIMEOUT", "Time-out expired in pre_configure phase"); } } - void configure_phase(uvm::uvm_phase& phase) + void configure_phase(uvm::uvm_phase& phase) override { sc_core::sc_time t; if (uvm::uvm_config_db::get(this, "configure", "timeout", t) && - t.to_seconds() > 0) + t > sc_core::SC_ZERO_TIME) { sc_core::wait(t); UVM_FATAL("TIMEOUT", "Time-out expired in configure phase"); } } - void post_configure_phase(uvm::uvm_phase& phase) + void post_configure_phase(uvm::uvm_phase& phase) override { sc_core::sc_time t; if (uvm::uvm_config_db::get(this, "post_configure", "timeout", t) && - t.to_seconds() > 0) + t > sc_core::SC_ZERO_TIME) { sc_core::wait(t); UVM_FATAL("TIMEOUT", "Time-out expired in post_configure phase"); } } - void pre_main_phase(uvm::uvm_phase& phase) + void pre_main_phase(uvm::uvm_phase& phase) override { sc_core::sc_time t; if (uvm::uvm_config_db::get(this, "pre_main", "timeout", t) && - t.to_seconds() > 0) + t > sc_core::SC_ZERO_TIME) { sc_core::wait(t); UVM_FATAL("TIMEOUT", "Time-out expired in pre_main phase"); } } - void main_phase(uvm::uvm_phase& phase) + void main_phase(uvm::uvm_phase& phase) override { sc_core::sc_time t; if (uvm::uvm_config_db::get(this, "main", "timeout", t) && - t.to_seconds() > 0) + t > sc_core::SC_ZERO_TIME) { sc_core::wait(t); UVM_FATAL("TIMEOUT", "Time-out expired in main phase"); } } - void post_main_phase(uvm::uvm_phase& phase) + void post_main_phase(uvm::uvm_phase& phase) override { sc_core::sc_time t; if (uvm::uvm_config_db::get(this, "post_main", "timeout", t) && - t.to_seconds() > 0) + t > sc_core::SC_ZERO_TIME) { sc_core::wait(t); UVM_FATAL("TIMEOUT", "Time-out expired in post_main phase"); } } - void pre_shutdown_phase(uvm::uvm_phase& phase) + void pre_shutdown_phase(uvm::uvm_phase& phase) override { sc_core::sc_time t; if (uvm::uvm_config_db::get(this, "pre_shutdown", "timeout", t) && - t.to_seconds() > 0) + t > sc_core::SC_ZERO_TIME) { sc_core::wait(t); UVM_FATAL("TIMEOUT", "Time-out expired in pre_shutdown phase"); } } - void shutdown_phase(uvm::uvm_phase& phase) + void shutdown_phase(uvm::uvm_phase& phase) override { sc_core::sc_time t; if (uvm::uvm_config_db::get(this, "shutdown", "timeout", t) && - t.to_seconds() > 0) + t > sc_core::SC_ZERO_TIME) { sc_core::wait(t); UVM_FATAL("TIMEOUT", "Time-out expired in shutdown phase"); } } - void post_shutdown_phase(uvm::uvm_phase& phase) + void post_shutdown_phase(uvm::uvm_phase& phase) override { sc_core::sc_time t; if (uvm::uvm_config_db::get(this, "post_shutdown", "timeout", t) && - t.to_seconds() > 0) + t > sc_core::SC_ZERO_TIME) { sc_core::wait(t); UVM_FATAL("TIMEOUT", "Time-out expired in post_shutdown phase"); diff --git a/examples/uvmsc/simple/phases/timeout/test.cpp b/examples/uvmsc/simple/phases/timeout/test.cpp index c4442e3a..db0b6735 100644 --- a/examples/uvmsc/simple/phases/timeout/test.cpp +++ b/examples/uvmsc/simple/phases/timeout/test.cpp @@ -39,14 +39,14 @@ class test : public uvm_test UVM_COMPONENT_UTILS(test); - void pre_main_phase(uvm_phase& phase) + void pre_main_phase(uvm_phase& phase) override { phase.raise_objection(this); wait(100, SC_US); phase.drop_objection(this); } - void main_phase(uvm_phase& phase) + void main_phase(uvm_phase& phase) override { phase.raise_objection(this); // Will cause a time-out @@ -55,14 +55,14 @@ class test : public uvm_test //phase.drop_objection(this); } - void shutdown_phase(uvm_phase& phase) + void shutdown_phase(uvm_phase& phase) override { phase.raise_objection(this); wait(100, SC_US); phase.drop_objection(this); } - virtual ~test() + ~test() override { tb_timer::destroy(); } diff --git a/examples/uvmsc/simple/registers/models/aliasing/reg_agent.h b/examples/uvmsc/simple/registers/models/aliasing/reg_agent.h index 1f8d15fc..bca833ba 100644 --- a/examples/uvmsc/simple/registers/models/aliasing/reg_agent.h +++ b/examples/uvmsc/simple/registers/models/aliasing/reg_agent.h @@ -55,7 +55,7 @@ class reg_rw : public uvm::uvm_sequence_item `uvm_object_utils_end */ - std::string convert2string() const + std::string convert2string() const override { std::ostringstream str; str << "reg_rw: " @@ -109,7 +109,7 @@ class reg_driver: public uvm::uvm_component m_parent(get_parent()) {} - void run_phase(uvm::uvm_phase& phase) + void run_phase(uvm::uvm_phase& phase) override { reg_monitor* mon; mon = dynamic_cast(m_parent->get_child("mon")); @@ -150,14 +150,14 @@ class reg_agent : public uvm::uvm_agent sqr(nullptr), drv(nullptr), mon(nullptr) {} - virtual void build_phase(uvm::uvm_phase& phase) + void build_phase(uvm::uvm_phase& phase) override { sqr = reg_sequencer::type_id::create("sqr", this); drv = reg_driver::type_id::create("drv", this); mon = reg_monitor::type_id::create("mon", this); } - virtual void connect_phase(uvm::uvm_phase& phase) + void connect_phase(uvm::uvm_phase& phase) override { drv->seqr_port.connect(sqr->seq_item_export); } @@ -177,7 +177,7 @@ class reg2rw_adapter : public uvm::uvm_reg_adapter provides_responses = true; } - virtual uvm::uvm_sequence_item* reg2bus( const uvm::uvm_reg_bus_op& rw ) + uvm::uvm_sequence_item* reg2bus( const uvm::uvm_reg_bus_op& rw ) override { reg_rw* bus = reg_rw::type_id::create("rw"); bus->read = (rw.kind == uvm::UVM_READ); @@ -187,8 +187,8 @@ class reg2rw_adapter : public uvm::uvm_reg_adapter return bus; } - virtual void bus2reg( const uvm::uvm_sequence_item* bus_item, - uvm::uvm_reg_bus_op& rw ) + void bus2reg( const uvm::uvm_sequence_item* bus_item, + uvm::uvm_reg_bus_op& rw ) override { const reg_rw* bus; bus = dynamic_cast(bus_item); diff --git a/examples/uvmsc/simple/registers/models/aliasing/regmodel.h b/examples/uvmsc/simple/registers/models/aliasing/regmodel.h index 3c422ee6..66b8c60e 100644 --- a/examples/uvmsc/simple/registers/models/aliasing/regmodel.h +++ b/examples/uvmsc/simple/registers/models/aliasing/regmodel.h @@ -86,12 +86,12 @@ class write_also_to_F : public uvm::uvm_reg_cbs m_toF = toF; } - virtual void post_predict( uvm::uvm_reg_field* fld, + void post_predict( uvm::uvm_reg_field* fld, uvm::uvm_reg_data_t previous, uvm::uvm_reg_data_t value, uvm::uvm_predict_e kind, uvm::uvm_path_e path, - uvm::uvm_reg_map* map ) + uvm::uvm_reg_map* map ) override { if (kind != uvm::UVM_PREDICT_WRITE) return; @@ -127,7 +127,7 @@ class alias_RaRb : public uvm::uvm_object uvm::uvm_reg_field_cb::add(Ra->F1, F2F3); } - virtual ~alias_RaRb() + ~alias_RaRb() override { delete F2F1; delete F2F2; diff --git a/examples/uvmsc/simple/registers/models/aliasing/tb_env.h b/examples/uvmsc/simple/registers/models/aliasing/tb_env.h index 054f93ee..a0a01816 100644 --- a/examples/uvmsc/simple/registers/models/aliasing/tb_env.h +++ b/examples/uvmsc/simple/registers/models/aliasing/tb_env.h @@ -95,7 +95,7 @@ class tb_env : public uvm::uvm_env reg2rw(nullptr) {} - virtual void build_phase(uvm::uvm_phase& phase) + void build_phase(uvm::uvm_phase& phase) override { uvm::uvm_env::build_phase(phase); @@ -110,7 +110,7 @@ class tb_env : public uvm::uvm_env predict->set_report_verbosity_level(uvm::UVM_FULL); } - virtual void connect_phase(uvm::uvm_phase& phase) + void connect_phase(uvm::uvm_phase& phase) override { reg2rw = new reg2rw_adapter("reg2rw"); @@ -122,7 +122,7 @@ class tb_env : public uvm::uvm_env regmodel->default_map->set_auto_predict(false); } - virtual ~tb_env() + ~tb_env() override { delete reg2rw; } diff --git a/examples/uvmsc/simple/registers/models/aliasing/tb_test.h b/examples/uvmsc/simple/registers/models/aliasing/tb_test.h index 606324ab..7245357e 100644 --- a/examples/uvmsc/simple/registers/models/aliasing/tb_test.h +++ b/examples/uvmsc/simple/registers/models/aliasing/tb_test.h @@ -40,7 +40,7 @@ class tb_test : public uvm::uvm_test UVM_COMPONENT_UTILS(tb_test); - void build_phase(uvm::uvm_phase& phase) + void build_phase(uvm::uvm_phase& phase) override { uvm::uvm_test::build_phase(phase); @@ -48,7 +48,7 @@ class tb_test : public uvm::uvm_test seq = uvm::uvm_reg_bit_bash_seq::type_id::create("seq"); } - void run_phase(uvm::uvm_phase& phase) + void run_phase(uvm::uvm_phase& phase) override { //uvm::uvm_status_e status; uvm::uvm_reg_data_t data; diff --git a/examples/uvmsc/simple/registers/models/fifo_reg/inc/dut_reset_seq.h b/examples/uvmsc/simple/registers/models/fifo_reg/inc/dut_reset_seq.h index fca5cf9f..45c61ddf 100644 --- a/examples/uvmsc/simple/registers/models/fifo_reg/inc/dut_reset_seq.h +++ b/examples/uvmsc/simple/registers/models/fifo_reg/inc/dut_reset_seq.h @@ -38,7 +38,7 @@ class dut_reset_seq : public uvm::uvm_sequence<> { uvm::uvm_sequence<>(name), dt(nullptr) {} - virtual void body(); + void body() override; dut_top* dt; }; diff --git a/examples/uvmsc/simple/registers/models/fifo_reg/inc/dut_top.h b/examples/uvmsc/simple/registers/models/fifo_reg/inc/dut_top.h index f5633050..18aa2f02 100644 --- a/examples/uvmsc/simple/registers/models/fifo_reg/inc/dut_top.h +++ b/examples/uvmsc/simple/registers/models/fifo_reg/inc/dut_top.h @@ -34,7 +34,7 @@ class dut_top : public sc_core::sc_module { public: dut_top(const sc_core::sc_module_name & name); - ~dut_top(); + ~dut_top() override; #if IEEE_1666_SYSTEMC >= 202301L #else diff --git a/examples/uvmsc/simple/registers/models/fifo_reg/inc/reg_model.h b/examples/uvmsc/simple/registers/models/fifo_reg/inc/reg_model.h index 9219d49e..6cdb3fcc 100644 --- a/examples/uvmsc/simple/registers/models/fifo_reg/inc/reg_model.h +++ b/examples/uvmsc/simple/registers/models/fifo_reg/inc/reg_model.h @@ -45,7 +45,7 @@ class reg_block_B : public uvm::uvm_reg_block reg_block_B(const std::string & name = "B") : uvm::uvm_reg_block(name, uvm::UVM_NO_COVERAGE) {} - ~reg_block_B() { + ~reg_block_B() override { if (FIFO) { delete FIFO; } diff --git a/examples/uvmsc/simple/registers/models/fifo_reg/inc/tb_env.h b/examples/uvmsc/simple/registers/models/fifo_reg/inc/tb_env.h index 567122c5..9ac9f412 100644 --- a/examples/uvmsc/simple/registers/models/fifo_reg/inc/tb_env.h +++ b/examples/uvmsc/simple/registers/models/fifo_reg/inc/tb_env.h @@ -46,11 +46,11 @@ class tb_env : public uvm::uvm_env dut_top* dt; tb_env(uvm::uvm_component_name name); - ~tb_env(); + ~tb_env() override; - virtual void build_phase(uvm::uvm_phase & phase); - virtual void connect_phase(uvm::uvm_phase & phase); - virtual void run_phase(uvm::uvm_phase & phase); + void build_phase(uvm::uvm_phase & phase) override; + void connect_phase(uvm::uvm_phase & phase) override; + void run_phase(uvm::uvm_phase & phase) override; private: std::mt19937 rng {SEED}; diff --git a/examples/uvmsc/simple/registers/models/reg_without_field/inc/reg_model.h b/examples/uvmsc/simple/registers/models/reg_without_field/inc/reg_model.h index a648db06..86669e88 100644 --- a/examples/uvmsc/simple/registers/models/reg_without_field/inc/reg_model.h +++ b/examples/uvmsc/simple/registers/models/reg_without_field/inc/reg_model.h @@ -43,7 +43,7 @@ class reg_R : public uvm::uvm_reg reg_R(const std::string & name = "R") : uvm::uvm_reg(name, 8, uvm::UVM_NO_COVERAGE) {} - ~reg_R(); + ~reg_R() override; virtual void build(); @@ -57,7 +57,7 @@ class block_B : public uvm::uvm_reg_block block_B(const std::string & name = "B") : uvm::uvm_reg_block(name, uvm::UVM_NO_COVERAGE) {} - ~block_B() { + ~block_B() override { if (R) { delete R; } diff --git a/examples/uvmsc/simple/registers/models/reg_without_field/inc/tb_env.h b/examples/uvmsc/simple/registers/models/reg_without_field/inc/tb_env.h index 0609319c..4b04cf23 100644 --- a/examples/uvmsc/simple/registers/models/reg_without_field/inc/tb_env.h +++ b/examples/uvmsc/simple/registers/models/reg_without_field/inc/tb_env.h @@ -42,10 +42,10 @@ class tb_env : public uvm::uvm_env tb_env(uvm::uvm_component_name name/*, uvm::uvm_component* parent = nullptr*/) : uvm::uvm_env(name/*, parent*/) {} - ~tb_env(); + ~tb_env() override; - virtual void build_phase(uvm::uvm_phase & phase); - virtual void connect_phase(uvm::uvm_phase & phase); + void build_phase(uvm::uvm_phase & phase) override; + void connect_phase(uvm::uvm_phase & phase) override; }; class reg_R_fd : public uvm::uvm_reg_frontdoor @@ -55,7 +55,7 @@ class reg_R_fd : public uvm::uvm_reg_frontdoor uvm::uvm_reg_frontdoor(name) {} - virtual void body(); + void body() override; private: char R{0}; diff --git a/examples/uvmsc/simple/registers/models/reg_without_field/inc/tb_test.h b/examples/uvmsc/simple/registers/models/reg_without_field/inc/tb_test.h index 92b570c5..aa14f91b 100644 --- a/examples/uvmsc/simple/registers/models/reg_without_field/inc/tb_test.h +++ b/examples/uvmsc/simple/registers/models/reg_without_field/inc/tb_test.h @@ -35,7 +35,7 @@ class tb_test : public uvm::uvm_test uvm::uvm_test(name/*, parent*/) {} - virtual void run_phase(uvm::uvm_phase & phase); + void run_phase(uvm::uvm_phase & phase) override; }; #endif /* TB_TEST_H_ */ diff --git a/examples/uvmsc/simple/registers/models/ro_wo_same_addr/reg_agent.h b/examples/uvmsc/simple/registers/models/ro_wo_same_addr/reg_agent.h index c69cc0a6..2816a132 100644 --- a/examples/uvmsc/simple/registers/models/ro_wo_same_addr/reg_agent.h +++ b/examples/uvmsc/simple/registers/models/ro_wo_same_addr/reg_agent.h @@ -55,7 +55,7 @@ class reg_rw : public uvm::uvm_sequence_item `uvm_object_utils_end */ - std::string convert2string() const + std::string convert2string() const override { std::ostringstream str; str << "reg_rw: " @@ -109,7 +109,7 @@ class reg_driver: public uvm::uvm_component m_parent(get_parent()) {} - void run_phase(uvm::uvm_phase& phase) + void run_phase(uvm::uvm_phase& phase) override { reg_monitor* mon; mon = dynamic_cast(m_parent->get_child("mon")); @@ -150,14 +150,14 @@ class reg_agent : public uvm::uvm_agent sqr(nullptr), drv(nullptr), mon(nullptr) {} - virtual void build_phase(uvm::uvm_phase& phase) + void build_phase(uvm::uvm_phase& phase) override { sqr = reg_sequencer::type_id::create("sqr", this); drv = reg_driver::type_id::create("drv", this); mon = reg_monitor::type_id::create("mon", this); } - virtual void connect_phase(uvm::uvm_phase& phase) + void connect_phase(uvm::uvm_phase& phase) override { drv->seqr_port.connect(sqr->seq_item_export); } @@ -177,7 +177,7 @@ class reg2rw_adapter : public uvm::uvm_reg_adapter provides_responses = true; } - virtual uvm::uvm_sequence_item* reg2bus( const uvm::uvm_reg_bus_op& rw ) + uvm::uvm_sequence_item* reg2bus( const uvm::uvm_reg_bus_op& rw ) override { reg_rw* bus = reg_rw::type_id::create("rw"); bus->read = (rw.kind == uvm::UVM_READ); @@ -187,8 +187,8 @@ class reg2rw_adapter : public uvm::uvm_reg_adapter return bus; } - virtual void bus2reg( const uvm::uvm_sequence_item* bus_item, - uvm::uvm_reg_bus_op& rw ) + void bus2reg( const uvm::uvm_sequence_item* bus_item, + uvm::uvm_reg_bus_op& rw ) override { const reg_rw* bus; bus = dynamic_cast(bus_item); diff --git a/examples/uvmsc/simple/registers/models/ro_wo_same_addr/tb_env.h b/examples/uvmsc/simple/registers/models/ro_wo_same_addr/tb_env.h index 59d9698f..bc2eff69 100644 --- a/examples/uvmsc/simple/registers/models/ro_wo_same_addr/tb_env.h +++ b/examples/uvmsc/simple/registers/models/ro_wo_same_addr/tb_env.h @@ -83,7 +83,7 @@ class tb_env : public uvm::uvm_env reg2rw(nullptr) {} - virtual void build_phase(uvm::uvm_phase& phase) + void build_phase(uvm::uvm_phase& phase) override { uvm::uvm_env::build_phase(phase); @@ -98,7 +98,7 @@ class tb_env : public uvm::uvm_env predict->set_report_verbosity_level(uvm::UVM_FULL); } - virtual void connect_phase(uvm::uvm_phase& phase) + void connect_phase(uvm::uvm_phase& phase) override { reg2rw = new reg2rw_adapter("reg2rw"); @@ -112,7 +112,7 @@ class tb_env : public uvm::uvm_env regmodel->default_map->set_auto_predict(false); } - virtual ~tb_env() + ~tb_env() override { delete reg2rw; } diff --git a/examples/uvmsc/simple/registers/models/ro_wo_same_addr/tb_test.h b/examples/uvmsc/simple/registers/models/ro_wo_same_addr/tb_test.h index 1c79e61d..8c4d3566 100644 --- a/examples/uvmsc/simple/registers/models/ro_wo_same_addr/tb_test.h +++ b/examples/uvmsc/simple/registers/models/ro_wo_same_addr/tb_test.h @@ -39,7 +39,7 @@ class tb_test : public uvm::uvm_test UVM_COMPONENT_UTILS(tb_test); - virtual void run_phase( uvm::uvm_phase& phase ) + void run_phase( uvm::uvm_phase& phase ) override { tb_env* env; uvm::uvm_status_e status; diff --git a/examples/uvmsc/simple/sequence/basic_read_write_sequence/bus_trans.h b/examples/uvmsc/simple/sequence/basic_read_write_sequence/bus_trans.h index 24063187..6d54a240 100644 --- a/examples/uvmsc/simple/sequence/basic_read_write_sequence/bus_trans.h +++ b/examples/uvmsc/simple/sequence/basic_read_write_sequence/bus_trans.h @@ -51,11 +51,11 @@ class bus_trans : public uvm::uvm_sequence_item op = BUS_READ; } - ~bus_trans() {} + ~bus_trans() override {} UVM_OBJECT_UTILS(bus_trans); - virtual void do_copy( const uvm::uvm_object& rhs ) + void do_copy( const uvm::uvm_object& rhs ) override { const bus_trans* rhs_ = dynamic_cast(&rhs); if(rhs_ == nullptr) @@ -68,7 +68,7 @@ class bus_trans : public uvm::uvm_sequence_item op = rhs_->op; } - virtual bool do_compare( const uvm::uvm_object& rhs, const uvm::uvm_comparer* comparer ) const + bool do_compare( const uvm::uvm_object& rhs, const uvm::uvm_comparer* comparer ) const override { const bus_trans* rhs_ = dynamic_cast(&rhs); if(rhs_ == nullptr) @@ -77,14 +77,14 @@ class bus_trans : public uvm::uvm_sequence_item return ((op == rhs_->op) && (addr == rhs_->addr) && (data == rhs_->data)); } - void do_print( const uvm::uvm_printer& printer ) const + void do_print( const uvm::uvm_printer& printer ) const override { printer.print_string("op", (op ? "BUS_WRITE":"BUS_READ")); printer.print_field_int("addr", addr); printer.print_field_int("data", data); } - std::string convert2string() const + std::string convert2string() const override { std::ostringstream str; str << "op " << (op ? "BUS_WRITE":"BUS_READ"); @@ -114,7 +114,7 @@ class bus_req : public bus_trans using bus_trans::uvm_sequence_item::uvm_report_fatal; bus_req( const std::string& name = "bus_req_seq_item" ) : bus_trans(name) {} - ~bus_req() {} + ~bus_req() override {} UVM_OBJECT_UTILS(bus_req); @@ -137,11 +137,11 @@ class bus_rsp : public bus_trans status = STATUS_NOT_OK; } - ~bus_rsp() {} + ~bus_rsp() override {} UVM_OBJECT_UTILS(bus_rsp); - virtual void do_copy( const uvm::uvm_object& rhs ) + void do_copy( const uvm::uvm_object& rhs ) override { const bus_rsp* rhs_ = dynamic_cast(&rhs); if(rhs_ == nullptr) @@ -151,7 +151,7 @@ class bus_rsp : public bus_trans status = rhs_->status; } - std::string convert2string() const + std::string convert2string() const override { std::string statusstr; diff --git a/examples/uvmsc/simple/sequence/basic_read_write_sequence/env.h b/examples/uvmsc/simple/sequence/basic_read_write_sequence/env.h index 9deea6b8..aa9fc420 100644 --- a/examples/uvmsc/simple/sequence/basic_read_write_sequence/env.h +++ b/examples/uvmsc/simple/sequence/basic_read_write_sequence/env.h @@ -46,7 +46,7 @@ class env: public uvm::uvm_env env( uvm::uvm_component_name name ) : uvm::uvm_env( name ), sqr(0), drv(0) {} - void build_phase(uvm::uvm_phase& phase) + void build_phase(uvm::uvm_phase& phase) override { uvm::uvm_env::build_phase(phase); @@ -61,12 +61,12 @@ class env: public uvm::uvm_env } } - void connect_phase(uvm::uvm_phase& phase) + void connect_phase(uvm::uvm_phase& phase) override { drv->seq_item_port(sqr->seq_item_export); } - void run_phase(uvm::uvm_phase& phase) + void run_phase(uvm::uvm_phase& phase) override { phase.raise_objection(this); SC_FORK @@ -89,7 +89,7 @@ class env: public uvm::uvm_env sequence_a[n]->start(sqr, nullptr); } - virtual ~env() + ~env() override { for (unsigned int i = 0; i < NUM_SEQS; i++) { diff --git a/examples/uvmsc/simple/sequence/basic_read_write_sequence/my_driver.h b/examples/uvmsc/simple/sequence/basic_read_write_sequence/my_driver.h index e5a53c51..78ad800e 100644 --- a/examples/uvmsc/simple/sequence/basic_read_write_sequence/my_driver.h +++ b/examples/uvmsc/simple/sequence/basic_read_write_sequence/my_driver.h @@ -34,7 +34,7 @@ class my_driver : public uvm::uvm_driver UVM_COMPONENT_PARAM_UTILS(my_driver); - void run_phase(uvm::uvm_phase& phase) + void run_phase(uvm::uvm_phase& phase) override { REQ req; RSP rsp; diff --git a/examples/uvmsc/simple/sequence/basic_read_write_sequence/sequenceA.h b/examples/uvmsc/simple/sequence/basic_read_write_sequence/sequenceA.h index 65613222..e9ef60d0 100644 --- a/examples/uvmsc/simple/sequence/basic_read_write_sequence/sequenceA.h +++ b/examples/uvmsc/simple/sequence/basic_read_write_sequence/sequenceA.h @@ -39,7 +39,7 @@ class sequenceA : public uvm::uvm_sequence UVM_OBJECT_PARAM_UTILS(sequenceA); - void body() + void body() override { std::string prstring; REQ* req; diff --git a/examples/uvmsc/simple/tlm1/bidir/bidir.h b/examples/uvmsc/simple/tlm1/bidir/bidir.h index a8b243cf..63dc12f0 100644 --- a/examples/uvmsc/simple/tlm1/bidir/bidir.h +++ b/examples/uvmsc/simple/tlm1/bidir/bidir.h @@ -58,7 +58,7 @@ class master : public uvm::uvm_component {} - void run_phase( uvm::uvm_phase& phase) + void run_phase( uvm::uvm_phase& phase) override { // start sequences in parallel SC_FORK @@ -115,7 +115,7 @@ class slave : public uvm::uvm_component rsp_port("rsp_port") {} - void run_phase( uvm::uvm_phase& phase ) + void run_phase( uvm::uvm_phase& phase ) override { int request, response; std::string request_str, response_str; @@ -159,7 +159,7 @@ class bidir_env : public uvm::uvm_env req_rsp("req_rsp_channel") {} - void connect_phase( uvm::uvm_phase& phase) + void connect_phase( uvm::uvm_phase& phase) override { m.req_port.connect(req_rsp.put_request_export); m.rsp_port.connect(req_rsp.get_response_export); @@ -167,7 +167,7 @@ class bidir_env : public uvm::uvm_env s.rsp_port.connect(req_rsp.put_response_export); } - void run_phase( uvm::uvm_phase& phase) + void run_phase( uvm::uvm_phase& phase) override { phase.raise_objection(this); sc_core::wait(10.0, sc_core::SC_US); diff --git a/examples/uvmsc/simple/tlm1/hierarchy/hierarchy.h b/examples/uvmsc/simple/tlm1/hierarchy/hierarchy.h index 6660b12c..0d0d5795 100644 --- a/examples/uvmsc/simple/tlm1/hierarchy/hierarchy.h +++ b/examples/uvmsc/simple/tlm1/hierarchy/hierarchy.h @@ -75,7 +75,7 @@ class transaction : public uvm::uvm_transaction return ((a.data == b.data) && (a.addr == b.addr)); } - uvm_object* clone() + uvm_object* clone() override { transaction* t; @@ -84,7 +84,7 @@ class transaction : public uvm::uvm_transaction return t; } - std::string convert2string() const + std::string convert2string() const override { std::ostringstream s; s << "[ addr = " << addr @@ -117,7 +117,7 @@ class gen : public uvm::uvm_component put_port("put_port") {} - void run_phase( uvm::uvm_phase& phase ) + void run_phase( uvm::uvm_phase& phase ) override { transaction t; std::string msg; @@ -152,7 +152,7 @@ class conv : public uvm::uvm_component ap("analysis_port") {} - void run_phase( uvm::uvm_phase& phase ) + void run_phase( uvm::uvm_phase& phase ) override { transaction t; @@ -180,7 +180,7 @@ class bfm : public uvm::uvm_component get_port("get_port") {} - void run_phase( uvm::uvm_phase& phase ) + void run_phase( uvm::uvm_phase& phase ) override { transaction t; @@ -205,7 +205,7 @@ class listener : public uvm::uvm_subscriber : uvm::uvm_subscriber(name) {} - void write( const transaction& t ) + void write( const transaction& t ) override { std::ostringstream msg; msg << "Received: " << t.convert2string(); @@ -237,7 +237,7 @@ class producer : public uvm::uvm_component f("fifo") {} - void connect_phase( uvm::uvm_phase& phase ) + void connect_phase( uvm::uvm_phase& phase ) override { g.put_port.connect(f); // note: there is no f.blocking_put_export c.get_port.connect(f); // note: these is no f.blocking_get_export @@ -266,7 +266,7 @@ class consumer : public uvm::uvm_component f("fifo") {} - void connect_phase( uvm::uvm_phase& phase ) + void connect_phase( uvm::uvm_phase& phase ) override { put_export.connect(f); // note: there is no f.blocking_put_export b.get_port.connect(f); // note: there is no f.blocking_get_export @@ -294,13 +294,13 @@ class top : public uvm::uvm_env // Connections may also be done in the constructor, if you wish } - void connect_phase( uvm::uvm_phase& phase ) + void connect_phase( uvm::uvm_phase& phase ) override { p.put_port.connect(c.put_export); p.ap.connect(l.analysis_export); } - void run_phase( uvm::uvm_phase& phase ) + void run_phase( uvm::uvm_phase& phase ) override {} }; // class top @@ -319,7 +319,7 @@ class env : public uvm::uvm_env t("top") {} - void run_phase( uvm::uvm_phase& phase ) + void run_phase( uvm::uvm_phase& phase ) override { phase.raise_objection(this); sc_core::wait(10.0, sc_core::SC_MS); diff --git a/examples/uvmsc/simple/tlm1/producer_consumer/fifo.h b/examples/uvmsc/simple/tlm1/producer_consumer/fifo.h index fec5e876..bf931a5f 100644 --- a/examples/uvmsc/simple/tlm1/producer_consumer/fifo.h +++ b/examples/uvmsc/simple/tlm1/producer_consumer/fifo.h @@ -56,7 +56,7 @@ class producer : public uvm::uvm_component put_port("put_port") {} - void run_phase( uvm::uvm_phase& phase ) + void run_phase( uvm::uvm_phase& phase ) override { int randval; @@ -87,7 +87,7 @@ class consumer : public uvm::uvm_component get_port("get_port") {} - void run_phase( uvm::uvm_phase& phase ) + void run_phase( uvm::uvm_phase& phase ) override { int val; @@ -121,13 +121,13 @@ class env : public uvm::uvm_env f("fifo") {} - void connect_phase( uvm::uvm_phase& phase ) + void connect_phase( uvm::uvm_phase& phase ) override { p.put_port.connect(f); // note: there is no f.put_export c.get_port.connect(f); // note: there is no f.get_export } - void run_phase( uvm::uvm_phase& phase ) + void run_phase( uvm::uvm_phase& phase ) override { phase.raise_objection(this); sc_core::wait(10.0, sc_core::SC_MS); diff --git a/examples/uvmsc/simple/trivial/component.h b/examples/uvmsc/simple/trivial/component.h index 37ff8857..f529d119 100644 --- a/examples/uvmsc/simple/trivial/component.h +++ b/examples/uvmsc/simple/trivial/component.h @@ -32,7 +32,7 @@ class my_component : public uvm::uvm_component my_component(uvm::uvm_component_name name) : uvm::uvm_component(name) {} - void run_phase(uvm::uvm_phase& phase) + void run_phase(uvm::uvm_phase& phase) override { phase.raise_objection(this); UVM_INFO("component", "hello out there!", uvm::UVM_MEDIUM); diff --git a/src/config.h.in b/src/config.h.in index 38017f8b..9a6e789d 100644 --- a/src/config.h.in +++ b/src/config.h.in @@ -45,8 +45,7 @@ /* Define to 1 if you have the header file. */ #undef HAVE_UNISTD_H -/* Define to the sub-directory in which libtool stores uninstalled libraries. - */ +/* Define to the sub-directory where libtool stores uninstalled libraries. */ #undef LT_OBJDIR /* Define to the address where bug reports for this package should be sent. */ diff --git a/src/uvmsc/base/uvm_component.h b/src/uvmsc/base/uvm_component.h index 2f3a0e2e..6c5dc4af 100644 --- a/src/uvmsc/base/uvm_component.h +++ b/src/uvmsc/base/uvm_component.h @@ -97,7 +97,7 @@ class uvm_component : public sc_core::sc_module, virtual uvm_component* get_parent() const; - virtual const std::string get_full_name() const; + const std::string get_full_name() const override; void get_children( std::vector& children ) const; @@ -340,12 +340,12 @@ class uvm_component : public sc_core::sc_module, ///////////////////////////////////////////////////// ///////////////////////////////////////////////////// - virtual const std::string get_type_name() const; + const std::string get_type_name() const override; - virtual ~uvm_component(); + ~uvm_component() override; // SystemC compatibility - virtual const char* kind() const; + const char* kind() const override; // avoid ambiguity with sc_object::print using uvm_report_object::print; @@ -360,9 +360,9 @@ class uvm_component : public sc_core::sc_module, uvm_component* comp = nullptr, bool recurse = false); - virtual void set_name( const std::string& name ); + void set_name( const std::string& name ) override; - virtual void do_print( const uvm_printer& printer ) const; + void do_print( const uvm_printer& printer ) const override; void m_set_full_name(); @@ -374,10 +374,10 @@ class uvm_component : public sc_core::sc_module, // 'legacy' from SystemC - recommended not to use //---------------------------------------------------------------------------- - virtual void before_end_of_elaboration(); - virtual void end_of_elaboration(); - virtual void start_of_simulation(); - virtual void end_of_simulation(); + void before_end_of_elaboration() override; + void end_of_elaboration() override; + void start_of_simulation() override; + void end_of_simulation() override; void m_apply_verbosity_settings(uvm_phase* phase); diff --git a/src/uvmsc/base/uvm_default_coreservice_t.h b/src/uvmsc/base/uvm_default_coreservice_t.h index bd9696fe..a1773644 100644 --- a/src/uvmsc/base/uvm_default_coreservice_t.h +++ b/src/uvmsc/base/uvm_default_coreservice_t.h @@ -48,23 +48,23 @@ class uvm_default_coreservice_t : public uvm_coreservice_t public: friend class uvm_coreservice_t; - virtual uvm_factory* get_factory() const; - virtual void set_factory( uvm_factory* f ); + uvm_factory* get_factory() const override; + void set_factory( uvm_factory* f ) override; // virtual uvm_tr_database* get_default_tr_database() const; // virtual void set_default_tr_database( uvm_tr_database* db ); - virtual uvm_report_server* get_report_server() const; - virtual void set_report_server( uvm_report_server* server ); + uvm_report_server* get_report_server() const override; + void set_report_server( uvm_report_server* server ) override; - virtual uvm_root* get_root() const; + uvm_root* get_root() const override; // virtual void set_component_visitor( uvm_visitor v ); // virtual uvm_visitor get_component_visitor() const; private: uvm_default_coreservice_t():factory(nullptr),report_server(nullptr){}; - virtual ~uvm_default_coreservice_t(){}; + ~uvm_default_coreservice_t() override{}; mutable uvm_factory* factory; // mutable uvm_tr_database* tr_database; diff --git a/src/uvmsc/base/uvm_event.h b/src/uvmsc/base/uvm_event.h index 1529c951..d4c1116a 100644 --- a/src/uvmsc/base/uvm_event.h +++ b/src/uvmsc/base/uvm_event.h @@ -103,13 +103,13 @@ class uvm_event : public uvm_object virtual int get_num_waiters() const; - virtual uvm_object* create( const std::string& name = "" ); + uvm_object* create( const std::string& name = "" ) override; - virtual const std::string get_type_name() const; + const std::string get_type_name() const override; - virtual void do_print( const uvm_printer& printer ) const; + void do_print( const uvm_printer& printer ) const override; - virtual void do_copy( const uvm_object& rhs ); + void do_copy( const uvm_object& rhs ) override; ///////////////////////////////////////////////////// ///////////////////////////////////////////////////// @@ -118,7 +118,7 @@ class uvm_event : public uvm_object ///////////////////////////////////////////////////// ///////////////////////////////////////////////////// - virtual ~uvm_event(); + ~uvm_event() override; // copy constructor uvm_event( const uvm_event& ev ); diff --git a/src/uvmsc/base/uvm_event_callback.h b/src/uvmsc/base/uvm_event_callback.h index cb0f447b..8941de69 100644 --- a/src/uvmsc/base/uvm_event_callback.h +++ b/src/uvmsc/base/uvm_event_callback.h @@ -51,7 +51,7 @@ class uvm_event_callback : public uvm_object uvm_event_callback( const std::string& name = "" ); virtual bool pre_trigger( const uvm_event* e, const uvm_object* data = nullptr ) const; virtual void post_trigger( const uvm_event* e, const uvm_object* data = nullptr) const; - virtual uvm_object* create( const std::string& name = "" ); + uvm_object* create( const std::string& name = "" ) override; }; // class uvm_event_callback diff --git a/src/uvmsc/base/uvm_export_base.h b/src/uvmsc/base/uvm_export_base.h index 6036f5df..26351b48 100644 --- a/src/uvmsc/base/uvm_export_base.h +++ b/src/uvmsc/base/uvm_export_base.h @@ -19,6 +19,7 @@ #define UVM_EXPORT_BASE_H_ #include +#include "uvmsc/base/uvm_component.h" ////////////// diff --git a/src/uvmsc/base/uvm_object.h b/src/uvmsc/base/uvm_object.h index bedf0eeb..69d3d3e6 100644 --- a/src/uvmsc/base/uvm_object.h +++ b/src/uvmsc/base/uvm_object.h @@ -77,7 +77,7 @@ class uvm_object : public uvm_void uvm_object(); explicit uvm_object( uvm_object_name name ); - virtual ~uvm_object(); + ~uvm_object() override; //-------------------------------------------------------------------------- // UVM Standard LRM API below diff --git a/src/uvmsc/base/uvm_port_base.h b/src/uvmsc/base/uvm_port_base.h index 1ca0bf88..a7afa4df 100644 --- a/src/uvmsc/base/uvm_port_base.h +++ b/src/uvmsc/base/uvm_port_base.h @@ -22,7 +22,7 @@ #define UVM_PORT_BASE_H_ #include - +#include "uvmsc/base/uvm_component.h" ////////////// namespace uvm { diff --git a/src/uvmsc/base/uvm_root.h b/src/uvmsc/base/uvm_root.h index 113caeff..98946a4a 100644 --- a/src/uvmsc/base/uvm_root.h +++ b/src/uvmsc/base/uvm_root.h @@ -98,7 +98,7 @@ class uvm_root : public uvm_component bool get_phase_all_done(); - ~uvm_root(); // destructor + ~uvm_root() override; // destructor sc_core::sc_object* m_hdl_obj; // TODO enable HDL access more elegant @@ -112,12 +112,12 @@ class uvm_root : public uvm_component void m_uvm_header(); - void before_end_of_elaboration(); - void end_of_elaboration(); - void start_of_simulation(); - void end_of_simulation(); + void before_end_of_elaboration() override; + void end_of_elaboration() override; + void start_of_simulation() override; + void end_of_simulation() override; - const char* kind() const + const char* kind() const override { return "uvm::uvm_root"; } diff --git a/src/uvmsc/base/uvm_transaction.h b/src/uvmsc/base/uvm_transaction.h index 99f0106d..5730f180 100644 --- a/src/uvmsc/base/uvm_transaction.h +++ b/src/uvmsc/base/uvm_transaction.h @@ -75,9 +75,9 @@ class uvm_transaction : public uvm_object protected: - virtual ~uvm_transaction(); + ~uvm_transaction() override; - virtual void do_print( const uvm_printer& printer ) const; + void do_print( const uvm_printer& printer ) const override; private: diff --git a/src/uvmsc/cb/uvm_callback.h b/src/uvmsc/cb/uvm_callback.h index fb48cd9e..55a9cdf2 100644 --- a/src/uvmsc/cb/uvm_callback.h +++ b/src/uvmsc/cb/uvm_callback.h @@ -58,7 +58,7 @@ class uvm_callback : public uvm_object bool is_enabled(); - virtual const std::string get_type_name() const; + const std::string get_type_name() const override; private: diff --git a/src/uvmsc/cb/uvm_callbacks.h b/src/uvmsc/cb/uvm_callbacks.h index a6dd0d92..a76a667a 100644 --- a/src/uvmsc/cb/uvm_callbacks.h +++ b/src/uvmsc/cb/uvm_callbacks.h @@ -151,9 +151,9 @@ class uvm_callbacks : public uvm_typed_callbacks static void m_get_q( uvm_queue*& q, T* obj ); - virtual bool m_is_registered( uvm_object* obj, uvm_callback* cb ); + bool m_is_registered( uvm_object* obj, uvm_callback* cb ) override; - virtual bool m_is_for_me( uvm_callback* cb ); + bool m_is_for_me( uvm_callback* cb ) override; // data members diff --git a/src/uvmsc/cb/uvm_typed_callbacks.h b/src/uvmsc/cb/uvm_typed_callbacks.h index 2f37b281..020ec160 100644 --- a/src/uvmsc/cb/uvm_typed_callbacks.h +++ b/src/uvmsc/cb/uvm_typed_callbacks.h @@ -64,17 +64,17 @@ class uvm_typed_callbacks: public uvm_callbacks_base static uvm_typed_callbacks* m_initialize(); - virtual bool m_am_i_a( uvm_object* obj ); + bool m_am_i_a( uvm_object* obj ) override; - virtual uvm_queue* m_get_tw_cb_q( uvm_object* obj ); + uvm_queue* m_get_tw_cb_q( uvm_object* obj ) override; static int m_cb_find( uvm_queue* q, uvm_callback* cb ); static int m_cb_find_name( uvm_queue* q, const std::string& name, const std::string& where ); - virtual void m_add_tw_cbs( uvm_callback* cb, uvm_apprepend ordering ); + void m_add_tw_cbs( uvm_callback* cb, uvm_apprepend ordering ) override; - virtual bool m_delete_tw_cbs( uvm_callback* cb ); + bool m_delete_tw_cbs( uvm_callback* cb ) override; static void display( T* obj = nullptr); diff --git a/src/uvmsc/comps/uvm_agent.h b/src/uvmsc/comps/uvm_agent.h index 3a824d80..52c3ff50 100644 --- a/src/uvmsc/comps/uvm_agent.h +++ b/src/uvmsc/comps/uvm_agent.h @@ -42,13 +42,13 @@ class uvm_agent : public uvm_component explicit uvm_agent( uvm_component_name name_ ); - virtual const std::string get_type_name() const; + const std::string get_type_name() const override; - void build_phase(uvm_phase& phase); + void build_phase(uvm_phase& phase) override; virtual uvm_active_passive_enum get_is_active() const; - virtual const char* kind() const; // SystemC API + const char* kind() const override; // SystemC API }; diff --git a/src/uvmsc/comps/uvm_driver.h b/src/uvmsc/comps/uvm_driver.h index c2edf773..869b336f 100644 --- a/src/uvmsc/comps/uvm_driver.h +++ b/src/uvmsc/comps/uvm_driver.h @@ -27,6 +27,7 @@ #include +#include "uvmsc/base/uvm_component_name.h" #include "uvmsc/base/uvm_component.h" #include "uvmsc/base/uvm_port_base.h" #include "uvmsc/seq/uvm_sequence_item.h" @@ -57,9 +58,9 @@ class uvm_driver : public uvm_component explicit uvm_driver( uvm_component_name name_ ) : uvm_component( name_ ), seq_item_port("seq_item_port"), rsp_port("rsp_port") {} - virtual const std::string get_type_name() const; + const std::string get_type_name() const override; - virtual const char* kind() const; // SystemC API + const char* kind() const override; // SystemC API }; diff --git a/src/uvmsc/comps/uvm_env.h b/src/uvmsc/comps/uvm_env.h index f7ae1c9f..5fca2c89 100644 --- a/src/uvmsc/comps/uvm_env.h +++ b/src/uvmsc/comps/uvm_env.h @@ -26,6 +26,7 @@ #include #include "uvmsc/base/uvm_component.h" +#include "uvmsc/base/uvm_component_name.h" namespace uvm { @@ -42,9 +43,9 @@ class uvm_env: public uvm_component public: explicit uvm_env( uvm_component_name name_ ) : uvm_component( name_ ) {} - virtual const std::string get_type_name() const; + const std::string get_type_name() const override; - virtual const char* kind() const; // SystemC API + const char* kind() const override; // SystemC API }; diff --git a/src/uvmsc/comps/uvm_monitor.h b/src/uvmsc/comps/uvm_monitor.h index a6ebeccf..82979ba3 100644 --- a/src/uvmsc/comps/uvm_monitor.h +++ b/src/uvmsc/comps/uvm_monitor.h @@ -26,6 +26,7 @@ #include #include "uvmsc/base/uvm_component.h" +#include "uvmsc/base/uvm_component_name.h" namespace uvm { @@ -48,9 +49,9 @@ class uvm_monitor : public uvm_component explicit uvm_monitor( uvm_component_name name_ ) : uvm_component( name_ ) {}; - virtual const std::string get_type_name() const; + const std::string get_type_name() const override; - virtual const char* kind() const; // SystemC API + const char* kind() const override; // SystemC API }; ///////////////////////////////////////////// diff --git a/src/uvmsc/comps/uvm_scoreboard.h b/src/uvmsc/comps/uvm_scoreboard.h index 90f88b0a..a76a07cc 100644 --- a/src/uvmsc/comps/uvm_scoreboard.h +++ b/src/uvmsc/comps/uvm_scoreboard.h @@ -23,6 +23,7 @@ #ifndef UVM_SCOREBOARD_H_ #define UVM_SCOREBOARD_H_ +#include "uvmsc/base/uvm_component_name.h" #include "uvmsc/base/uvm_component.h" namespace uvm { @@ -52,7 +53,7 @@ class uvm_scoreboard : public uvm_component //! Return the type name of the object //---------------------------------------------------------------------- - virtual const std::string get_type_name() const + const std::string get_type_name() const override { return std::string(kind()); } @@ -63,7 +64,7 @@ class uvm_scoreboard : public uvm_component //! SystemC compatible API //---------------------------------------------------------------------- - virtual const char* kind() const // SystemC API + const char* kind() const override // SystemC API { return "uvm::uvm_scoreboard"; } diff --git a/src/uvmsc/comps/uvm_subscriber.h b/src/uvmsc/comps/uvm_subscriber.h index 562c6e96..3c96b632 100644 --- a/src/uvmsc/comps/uvm_subscriber.h +++ b/src/uvmsc/comps/uvm_subscriber.h @@ -25,8 +25,11 @@ #include +#include "uvmsc/base/uvm_component.h" +#include "uvmsc/base/uvm_component_name.h" #include "uvmsc/tlm1/uvm_analysis_export.h" + namespace uvm { //------------------------------------------------------------------------------ @@ -81,7 +84,7 @@ class uvm_subscriber : public uvm_component, //! analysis_export. //---------------------------------------------------------------------- - virtual void write( const T& t ) = 0; + void write( const T& t ) override = 0; //---------------------------------------------------------------------- // member function: get_type_name() @@ -89,7 +92,7 @@ class uvm_subscriber : public uvm_component, //! Return the type name of the object //---------------------------------------------------------------------- - virtual const std::string get_type_name() const + const std::string get_type_name() const override { return std::string(this->kind()); } @@ -100,7 +103,7 @@ class uvm_subscriber : public uvm_component, //! SystemC compatible API //---------------------------------------------------------------------- - virtual const char* kind() const // SystemC API + const char* kind() const override // SystemC API { return "uvm::uvm_scoreboard"; } diff --git a/src/uvmsc/comps/uvm_test.h b/src/uvmsc/comps/uvm_test.h index ff19ddff..4146076f 100644 --- a/src/uvmsc/comps/uvm_test.h +++ b/src/uvmsc/comps/uvm_test.h @@ -24,6 +24,7 @@ #define UVM_TEST_H_ #include "uvmsc/base/uvm_component.h" +#include "uvmsc/base/uvm_component_name.h" namespace uvm { @@ -47,9 +48,9 @@ class uvm_test : public uvm_component public: explicit uvm_test( uvm_component_name name_ ) : uvm_component( name_ ) {}; - virtual const std::string get_type_name() const; + const std::string get_type_name() const override; - virtual const char* kind() const; // SystemC API + const char* kind() const override; // SystemC API }; ///////////////////////////////////////////// diff --git a/src/uvmsc/conf/uvm_queue.h b/src/uvmsc/conf/uvm_queue.h index 1d3ed87e..4c3a6d81 100644 --- a/src/uvmsc/conf/uvm_queue.h +++ b/src/uvmsc/conf/uvm_queue.h @@ -55,7 +55,7 @@ class uvm_queue : public uvm_object //-------------------------------------------------------------------------- explicit uvm_queue( const std::string& name_ = "" ); - virtual ~uvm_queue(); + ~uvm_queue() override; static uvm_queue* get_global_queue(); static T get_global ( int index ); @@ -78,13 +78,13 @@ class uvm_queue : public uvm_object // not part of UVM Class reference / LRM ///////////////////////////////////////////////////// - virtual uvm_object* create( const std::string& name = "" ); + uvm_object* create( const std::string& name = "" ) override; - virtual const std::string get_type_name() const; + const std::string get_type_name() const override; - virtual void do_copy( const uvm_object& rhs ); + void do_copy( const uvm_object& rhs ) override; - virtual std::string convert2string() const; + std::string convert2string() const override; public: static const std::string type_name; diff --git a/src/uvmsc/conf/uvm_resource.h b/src/uvmsc/conf/uvm_resource.h index 6ac7b808..4e707ac7 100644 --- a/src/uvmsc/conf/uvm_resource.h +++ b/src/uvmsc/conf/uvm_resource.h @@ -61,7 +61,7 @@ class uvm_resource : public uvm_resource_base static uvm_resource* get_type(); - uvm_resource_base* get_type_handle() const; + uvm_resource_base* get_type_handle() const override; //-------------------------------------------------------------------- // Group: Set/Get Interface @@ -91,7 +91,7 @@ class uvm_resource : public uvm_resource_base // Group: Priority //-------------------------------------------------------------------- - void set_priority( uvm_resource_types::priority_e pri ); + void set_priority( uvm_resource_types::priority_e pri ) override; static uvm_resource* get_highest_precedence( uvm_resource_types::rsrc_q_t* q ); @@ -105,13 +105,13 @@ class uvm_resource : public uvm_resource_base // constructor uvm_resource( const std::string& name_ = "", const std::string& scope_ = "" ); - ~uvm_resource(); + ~uvm_resource() override; static m_uvm_resource_converter* m_get_converter(); static void m_set_converter(m_uvm_resource_converter* r2s); - std::string convert2string() const; + std::string convert2string() const override; void set_object() { is_object = true; } diff --git a/src/uvmsc/conf/uvm_resource_base.h b/src/uvmsc/conf/uvm_resource_base.h index 62568194..310996bf 100644 --- a/src/uvmsc/conf/uvm_resource_base.h +++ b/src/uvmsc/conf/uvm_resource_base.h @@ -57,7 +57,7 @@ class uvm_resource_base : public uvm_object uvm_resource_base( const std::string& name = "", const std::string& s = "*" ); - ~uvm_resource_base(); + ~uvm_resource_base() override; //-------------------------------------------------------------------------- // UVM Standard LRM API below @@ -100,7 +100,7 @@ class uvm_resource_base : public uvm_object // Group: Utility Functions //-------------------------------------------------------------------------- - void do_print( const uvm_printer& printer ) const; + void do_print( const uvm_printer& printer ) const override; //-------------------------------------------------------------------------- // Group: Audit Trail @@ -128,7 +128,7 @@ class uvm_resource_base : public uvm_object private: - std::string convert2string() const; + std::string convert2string() const override; void set_read_write(); diff --git a/src/uvmsc/conf/uvm_resource_converter.h b/src/uvmsc/conf/uvm_resource_converter.h index 62d5ef89..864d6f5d 100644 --- a/src/uvmsc/conf/uvm_resource_converter.h +++ b/src/uvmsc/conf/uvm_resource_converter.h @@ -23,6 +23,10 @@ #ifndef UVM_RESOURCE_CONVERTER_H_ #define UVM_RESOURCE_CONVERTER_H_ +#include +#include +#include + namespace uvm { //------------------------------------------------------------------------------ diff --git a/src/uvmsc/conf/uvm_resource_pool.h b/src/uvmsc/conf/uvm_resource_pool.h index 2008828c..c926ae33 100644 --- a/src/uvmsc/conf/uvm_resource_pool.h +++ b/src/uvmsc/conf/uvm_resource_pool.h @@ -22,6 +22,7 @@ #ifndef UVM_RESOURCE_POOL_H_ #define UVM_RESOURCE_POOL_H_ +#include #include "uvmsc/conf/uvm_queue.h" #include "uvmsc/conf/uvm_resource_types.h" diff --git a/src/uvmsc/dap/uvm_get_to_lock_dap.h b/src/uvmsc/dap/uvm_get_to_lock_dap.h index a315a4f4..d2afc274 100644 --- a/src/uvmsc/dap/uvm_get_to_lock_dap.h +++ b/src/uvmsc/dap/uvm_get_to_lock_dap.h @@ -63,21 +63,21 @@ class uvm_get_to_lock_dap : public uvm_set_get_dap_base // Group: Set/Get Interface - virtual void set( const T& value ); - virtual bool try_set( const T& value ); - virtual T get(); - virtual bool try_get( T& value ); + void set( const T& value ) override; + bool try_set( const T& value ) override; + T get() override; + bool try_get( T& value ) override; // Group: Introspection - virtual void do_copy( const uvm_object& rhs ); - virtual void do_pack( uvm_packer& packer ) const; - virtual void do_unpack( uvm_packer& packer ); + void do_copy( const uvm_object& rhs ) override; + void do_pack( uvm_packer& packer ) const override; + void do_unpack( uvm_packer& packer ) override; // Group- Reporting - virtual std::string convert2string() const; - virtual void do_print( const uvm_printer& printer ) const; + std::string convert2string() const override; + void do_print( const uvm_printer& printer ) const override; private: // local variables diff --git a/src/uvmsc/dap/uvm_set_get_dap_base.h b/src/uvmsc/dap/uvm_set_get_dap_base.h index 4a5ca7e6..26dabdf1 100644 --- a/src/uvmsc/dap/uvm_set_get_dap_base.h +++ b/src/uvmsc/dap/uvm_set_get_dap_base.h @@ -25,9 +25,8 @@ #define UVM_SET_GET_DAP_BASE_H_ #include +#include "uvmsc/base/uvm_object.h" -// forward declaration -class uvm_object; namespace uvm { @@ -93,7 +92,7 @@ class uvm_set_get_dap_base: public uvm_object virtual bool try_get( T& value ) = 0; protected: - virtual ~uvm_set_get_dap_base(){}; + ~uvm_set_get_dap_base() override{}; }; // class uvm_set_get_dap_base diff --git a/src/uvmsc/factory/uvm_component_registry.h b/src/uvmsc/factory/uvm_component_registry.h index b8ddb166..b07cf602 100644 --- a/src/uvmsc/factory/uvm_component_registry.h +++ b/src/uvmsc/factory/uvm_component_registry.h @@ -25,11 +25,12 @@ #ifndef UVM_COMPONENT_REGISTRY_H_ #define UVM_COMPONENT_REGISTRY_H_ - + #include #include #include +#include "uvmsc/base/uvm_globals.h" #include "uvmsc/base/uvm_root.h" #include "uvmsc/base/uvm_component.h" #include "uvmsc/base/uvm_coreservice_t.h" @@ -74,10 +75,10 @@ class uvm_component_registry : public uvm_object_wrapper // UVM Standard LRM API below //-------------------------------------------------------------------------- - virtual uvm_component* create_component( const std::string& name, - uvm_component* parent); + uvm_component* create_component( const std::string& name, + uvm_component* parent) override; - virtual const std::string get_type_name() const; + const std::string get_type_name() const override; static uvm_component_registry* get(); @@ -99,7 +100,7 @@ class uvm_component_registry : public uvm_object_wrapper // static void destroy( T* comp ); - virtual ~uvm_component_registry(); + ~uvm_component_registry() override; private: explicit uvm_component_registry( const std::string& name = "" ); diff --git a/src/uvmsc/factory/uvm_default_factory.h b/src/uvmsc/factory/uvm_default_factory.h index 8984fd3a..ad0aa452 100644 --- a/src/uvmsc/factory/uvm_default_factory.h +++ b/src/uvmsc/factory/uvm_default_factory.h @@ -57,75 +57,75 @@ class uvm_default_factory : public uvm_factory // Group: Registering Types //-------------------------------------------------------------------------- - virtual void do_register( uvm_object_wrapper* obj ); + void do_register( uvm_object_wrapper* obj ) override; //-------------------------------------------------------------------------- // Group: Type & Instance Overrides //-------------------------------------------------------------------------- - virtual void set_inst_override_by_type( uvm_object_wrapper* original_type, + void set_inst_override_by_type( uvm_object_wrapper* original_type, uvm_object_wrapper* override_type, - const std::string& full_inst_path ); + const std::string& full_inst_path ) override; - virtual void set_inst_override_by_name( const std::string& original_type_name, + void set_inst_override_by_name( const std::string& original_type_name, const std::string& override_type_name, - const std::string& full_inst_path ); + const std::string& full_inst_path ) override; - virtual void set_type_override_by_type( uvm_object_wrapper* original_type, + void set_type_override_by_type( uvm_object_wrapper* original_type, uvm_object_wrapper* override_type, - bool replace = true ); + bool replace = true ) override; - virtual void set_type_override_by_name( const std::string& original_type_name, + void set_type_override_by_name( const std::string& original_type_name, const std::string& override_type_name, - bool replace = true ); + bool replace = true ) override; //-------------------------------------------------------------------------- // Group: Creation //-------------------------------------------------------------------------- - virtual uvm_object* create_object_by_type( uvm_object_wrapper* requested_type, + uvm_object* create_object_by_type( uvm_object_wrapper* requested_type, const std::string& parent_inst_path = "", - const std::string& name = "" ); + const std::string& name = "" ) override; - virtual uvm_component* create_component_by_type( uvm_object_wrapper* requested_type, + uvm_component* create_component_by_type( uvm_object_wrapper* requested_type, const std::string& parent_inst_path = "", const std::string& name = "", - uvm_component* parent = nullptr ); + uvm_component* parent = nullptr ) override; - virtual uvm_object* create_object_by_name( const std::string& requested_type_name, + uvm_object* create_object_by_name( const std::string& requested_type_name, const std::string& parent_inst_path = "", - const std::string& name = "" ); + const std::string& name = "" ) override; - virtual uvm_component* create_component_by_name( const std::string& requested_type_name, + uvm_component* create_component_by_name( const std::string& requested_type_name, const std::string& parent_inst_path = "", const std::string& name = "", - uvm_component* parent = nullptr ); + uvm_component* parent = nullptr ) override; - virtual bool is_type_name_registered( const std::string& type_name ) const; + bool is_type_name_registered( const std::string& type_name ) const override; - virtual bool is_type_registered( uvm_object_wrapper* obj ) const; + bool is_type_registered( uvm_object_wrapper* obj ) const override; //-------------------------------------------------------------------------- // Group: Debug //-------------------------------------------------------------------------- - virtual void debug_create_by_type( uvm_object_wrapper* requested_type, + void debug_create_by_type( uvm_object_wrapper* requested_type, const std::string& parent_inst_path = "", - const std::string& name = "" ); + const std::string& name = "" ) override; - virtual void debug_create_by_name( const std::string& requested_type_name, + void debug_create_by_name( const std::string& requested_type_name, const std::string& parent_inst_path = "", - const std::string& name = "" ); + const std::string& name = "" ) override; - virtual uvm_object_wrapper* find_override_by_type( uvm_object_wrapper* requested_type, - const std::string& full_inst_path ); + uvm_object_wrapper* find_override_by_type( uvm_object_wrapper* requested_type, + const std::string& full_inst_path ) override; - virtual uvm_object_wrapper* find_override_by_name( const std::string& requested_type_name, - const std::string& full_inst_path ); + uvm_object_wrapper* find_override_by_name( const std::string& requested_type_name, + const std::string& full_inst_path ) override; - virtual uvm_object_wrapper* find_wrapper_by_name( const std::string& type_name ); + uvm_object_wrapper* find_wrapper_by_name( const std::string& type_name ) override; - virtual void print( int all_types = 1 ); + void print( int all_types = 1 ) override; ///////////////////////////////////////////////////// @@ -134,16 +134,16 @@ class uvm_default_factory : public uvm_factory ///////////////////////////////////////////////////// - bool m_delete_object( uvm_object* obj ); - void m_delete_all_objects(); + bool m_delete_object( uvm_object* obj ) override; + void m_delete_all_objects() override; - bool m_delete_component( uvm_component* comp ); - void m_delete_all_components(); + bool m_delete_component( uvm_component* comp ) override; + void m_delete_all_components() override; protected: uvm_default_factory(); - virtual ~uvm_default_factory(); + ~uvm_default_factory() override; bool check_inst_override_exists( uvm_object_wrapper* original_type, uvm_object_wrapper* override_type, diff --git a/src/uvmsc/factory/uvm_object_registry.h b/src/uvmsc/factory/uvm_object_registry.h index 3378c422..8171e0fc 100644 --- a/src/uvmsc/factory/uvm_object_registry.h +++ b/src/uvmsc/factory/uvm_object_registry.h @@ -73,9 +73,9 @@ class uvm_object_registry : public uvm_object_wrapper // UVM Standard LRM API below //-------------------------------------------------------------------------- - virtual uvm_object* create_object( const std::string& name = "" ); + uvm_object* create_object( const std::string& name = "" ) override; - virtual const std::string get_type_name() const; + const std::string get_type_name() const override; static uvm_object_registry* get(); @@ -100,7 +100,7 @@ class uvm_object_registry : public uvm_object_wrapper private: explicit uvm_object_registry( const std::string& name = "" ); - virtual ~uvm_object_registry(); + ~uvm_object_registry() override; static const std::string m_type_name_prop(); diff --git a/src/uvmsc/macros/uvm_callback_defines.h b/src/uvmsc/macros/uvm_callback_defines.h index a6454ff5..fd2ad2fe 100644 --- a/src/uvmsc/macros/uvm_callback_defines.h +++ b/src/uvmsc/macros/uvm_callback_defines.h @@ -37,7 +37,7 @@ //----------------------------------------------------------------------------- #define UVM_REGISTER_CB(T,CB) \ - bool m_register_cb() { \ + bool m_register_cb() override { \ return ::uvm::uvm_callbacks::m_register_pair(#T,#CB); } //----------------------------------------------------------------------------- diff --git a/src/uvmsc/macros/uvm_component_defines.h b/src/uvmsc/macros/uvm_component_defines.h index 26a67d56..d3669df2 100644 --- a/src/uvmsc/macros/uvm_component_defines.h +++ b/src/uvmsc/macros/uvm_component_defines.h @@ -70,7 +70,7 @@ // ------------------------------------------- #define M_UVM_COMPONENT_GET_TYPE_NAME_FUNC_PARAM(...) \ - virtual const std::string get_type_name() const { \ + const std::string get_type_name() const override { \ return #__VA_ARGS__; \ } diff --git a/src/uvmsc/macros/uvm_object_defines.h b/src/uvmsc/macros/uvm_object_defines.h index 9ade964f..13b84525 100644 --- a/src/uvmsc/macros/uvm_object_defines.h +++ b/src/uvmsc/macros/uvm_object_defines.h @@ -268,12 +268,9 @@ // ------------------------------------------- #define M_UVM_OBJECT_GET_TYPE_NAME_FUNC_PARAM(...) \ - virtual const std::string get_type_name() const { \ + const std::string get_type_name() const override{ \ return #__VA_ARGS__; \ } \ - virtual const char* kind() const { \ - return #__VA_ARGS__; \ - } \ #endif /* UVM_OBJECT_DEFINES_H_ */ diff --git a/src/uvmsc/macros/uvm_sequence_defines.h b/src/uvmsc/macros/uvm_sequence_defines.h index b8e78934..05b3e204 100644 --- a/src/uvmsc/macros/uvm_sequence_defines.h +++ b/src/uvmsc/macros/uvm_sequence_defines.h @@ -159,7 +159,7 @@ #define UVM_DECLARE_P_SEQUENCER(SEQR) \ SEQR* p_sequencer; \ - void m_set_p_sequencer() { \ + void m_set_p_sequencer() override { \ p_sequencer = dynamic_cast(::uvm::uvm_sequence_item::m_sequencer); \ if(!p_sequencer) { \ std::ostringstream msg; \ diff --git a/src/uvmsc/misc/uvm_copy_map.h b/src/uvmsc/misc/uvm_copy_map.h index 008ef310..0bc4594d 100644 --- a/src/uvmsc/misc/uvm_copy_map.h +++ b/src/uvmsc/misc/uvm_copy_map.h @@ -21,6 +21,8 @@ #define UVM_COPY_MAP_H_ ////////////// +#include +#include "uvmsc/base/uvm_object.h" namespace uvm { diff --git a/src/uvmsc/misc/uvm_misc.h b/src/uvmsc/misc/uvm_misc.h index 81ba6761..e0db5ada 100644 --- a/src/uvmsc/misc/uvm_misc.h +++ b/src/uvmsc/misc/uvm_misc.h @@ -24,9 +24,8 @@ #include #include -#include "uvmsc/misc/uvm_scope_stack.h" -#include "uvmsc/misc/uvm_status_container.h" -#include "uvmsc/misc/uvm_copy_map.h" + +#include "uvmsc/base/uvm_object.h" ////////////// diff --git a/src/uvmsc/phasing/uvm_bottomup_phase.h b/src/uvmsc/phasing/uvm_bottomup_phase.h index 61ffe19e..ffb9d4c7 100644 --- a/src/uvmsc/phasing/uvm_bottomup_phase.h +++ b/src/uvmsc/phasing/uvm_bottomup_phase.h @@ -48,12 +48,12 @@ class uvm_bottomup_phase : public uvm_phase explicit uvm_bottomup_phase( const std::string& name ); - virtual void traverse( uvm_component* comp, + void traverse( uvm_component* comp, uvm_phase* phase, - uvm_phase_state state ); + uvm_phase_state state ) override; - virtual void execute( uvm_component* comp, - uvm_phase* phase ); + void execute( uvm_component* comp, + uvm_phase* phase ) override; }; diff --git a/src/uvmsc/phasing/uvm_common_phases.h b/src/uvmsc/phasing/uvm_common_phases.h index 2b333ca3..559f8db6 100644 --- a/src/uvmsc/phasing/uvm_common_phases.h +++ b/src/uvmsc/phasing/uvm_common_phases.h @@ -71,11 +71,11 @@ class uvm_build_phase : public uvm_topdown_phase { public: - virtual void exec_func( uvm_component* comp, uvm_phase* phase ); + void exec_func( uvm_component* comp, uvm_phase* phase ) override; static uvm_build_phase* get(); - virtual const std::string get_type_name() const; + const std::string get_type_name() const override; static uvm_build_phase* m_inst; static const std::string type_name; @@ -113,11 +113,11 @@ class uvm_connect_phase : public uvm_bottomup_phase { public: - virtual void exec_func(uvm_component* comp, uvm_phase* phase); + void exec_func(uvm_component* comp, uvm_phase* phase) override; static uvm_connect_phase* get(); - virtual const std::string get_type_name() const; + const std::string get_type_name() const override; static uvm_connect_phase* m_inst; static const std::string type_name; @@ -153,11 +153,11 @@ class uvm_end_of_elaboration_phase : public uvm_bottomup_phase { public: - virtual void exec_func(uvm_component* comp, uvm_phase* phase); + void exec_func(uvm_component* comp, uvm_phase* phase) override; static uvm_end_of_elaboration_phase* get(); - virtual const std::string get_type_name() const; + const std::string get_type_name() const override; static uvm_end_of_elaboration_phase* m_inst; static const std::string type_name; @@ -195,11 +195,11 @@ class uvm_start_of_simulation_phase : public uvm_bottomup_phase { public: - virtual void exec_func(uvm_component* comp, uvm_phase* phase); + void exec_func(uvm_component* comp, uvm_phase* phase) override; static uvm_start_of_simulation_phase* get(); - virtual const std::string get_type_name() const; + const std::string get_type_name() const override; static uvm_start_of_simulation_phase* m_inst; static const std::string type_name; @@ -260,11 +260,11 @@ class uvm_start_of_simulation_phase : public uvm_bottomup_phase class uvm_run_phase : public uvm_process_phase { public: - virtual void exec_process(uvm_component* comp, uvm_phase* phase); + void exec_process(uvm_component* comp, uvm_phase* phase) override; static uvm_run_phase* get(); - virtual const std::string get_type_name() const; + const std::string get_type_name() const override; static uvm_run_phase* m_inst; static const std::string type_name; @@ -303,11 +303,11 @@ class uvm_extract_phase : public uvm_bottomup_phase { public: - virtual void exec_func(uvm_component* comp, uvm_phase* phase); + void exec_func(uvm_component* comp, uvm_phase* phase) override; static uvm_extract_phase* get(); - virtual const std::string get_type_name() const; + const std::string get_type_name() const override; static uvm_extract_phase* m_inst; static const std::string type_name; @@ -339,11 +339,11 @@ class uvm_check_phase : public uvm_bottomup_phase { public: - virtual void exec_func(uvm_component* comp, uvm_phase* phase); + void exec_func(uvm_component* comp, uvm_phase* phase) override; static uvm_check_phase* get(); - virtual const std::string get_type_name() const; + const std::string get_type_name() const override; static uvm_check_phase* m_inst; static const std::string type_name; @@ -377,11 +377,11 @@ class uvm_report_phase : public uvm_bottomup_phase { public: - virtual void exec_func(uvm_component* comp, uvm_phase* phase); + void exec_func(uvm_component* comp, uvm_phase* phase) override; static uvm_report_phase* get(); - virtual const std::string get_type_name() const; + const std::string get_type_name() const override; static uvm_report_phase* m_inst; static const std::string type_name; @@ -415,11 +415,11 @@ class uvm_final_phase : public uvm_topdown_phase { public: - virtual void exec_func(uvm_component* comp, uvm_phase* phase); + void exec_func(uvm_component* comp, uvm_phase* phase) override; static uvm_final_phase* get(); - virtual const std::string get_type_name() const; + const std::string get_type_name() const override; static uvm_final_phase* m_inst; static const std::string type_name; diff --git a/src/uvmsc/phasing/uvm_objection.cpp b/src/uvmsc/phasing/uvm_objection.cpp index bb3c8d91..2fecce35 100644 --- a/src/uvmsc/phasing/uvm_objection.cpp +++ b/src/uvmsc/phasing/uvm_objection.cpp @@ -462,7 +462,7 @@ int uvm_objection::get_objection_total( uvm_object* obj ) const if (m_source_count.find(obj) == m_source_count.end()) // if not exists objection_total = 0; else - objection_total = m_source_count.find(obj)->second;; + objection_total = m_source_count.find(obj)->second; if (comp->get_first_child(child)) do diff --git a/src/uvmsc/phasing/uvm_objection.h b/src/uvmsc/phasing/uvm_objection.h index 346c95d9..06fdd233 100644 --- a/src/uvmsc/phasing/uvm_objection.h +++ b/src/uvmsc/phasing/uvm_objection.h @@ -189,7 +189,7 @@ class uvm_objection : public uvm_object int count, const std::string& action ) const; - std::string convert2string() const; + std::string convert2string() const override; static void m_execute_scheduled_forks(); diff --git a/src/uvmsc/phasing/uvm_phase.h b/src/uvmsc/phasing/uvm_phase.h index 469a4518..5a027f1b 100644 --- a/src/uvmsc/phasing/uvm_phase.h +++ b/src/uvmsc/phasing/uvm_phase.h @@ -113,7 +113,7 @@ class uvm_phase : public uvm_object uvm_phase* get_parent() const; - virtual const std::string get_full_name() const; + const std::string get_full_name() const override; uvm_phase* get_schedule( bool hier = false ) const; diff --git a/src/uvmsc/phasing/uvm_process_phase.h b/src/uvmsc/phasing/uvm_process_phase.h index ac751110..15893743 100644 --- a/src/uvmsc/phasing/uvm_process_phase.h +++ b/src/uvmsc/phasing/uvm_process_phase.h @@ -60,19 +60,19 @@ class uvm_process_phase : public uvm_phase public: explicit uvm_process_phase( const std::string& name ); - virtual void traverse( uvm_component* comp, + void traverse( uvm_component* comp, uvm_phase* phase, - uvm_phase_state state ); + uvm_phase_state state ) override; void m_traverse( uvm_component* comp, uvm_phase* phase, uvm_phase_state state ); - virtual void execute( uvm_component* comp, - uvm_phase* phase ); + void execute( uvm_component* comp, + uvm_phase* phase ) override; void kill( uvm_component* comp, - uvm_phase* phase ); + uvm_phase* phase ) override; void exec_proc( uvm_component* comp, uvm_phase* phase ); diff --git a/src/uvmsc/phasing/uvm_runtime_phases.h b/src/uvmsc/phasing/uvm_runtime_phases.h index f4100582..f4c8fa5d 100644 --- a/src/uvmsc/phasing/uvm_runtime_phases.h +++ b/src/uvmsc/phasing/uvm_runtime_phases.h @@ -79,11 +79,11 @@ class uvm_pre_reset_phase : public uvm_process_phase { public: - virtual void exec_process( uvm_component* comp, uvm_phase* phase ); + void exec_process( uvm_component* comp, uvm_phase* phase ) override; static uvm_pre_reset_phase* get(); - virtual const std::string get_type_name() const; + const std::string get_type_name() const override; static uvm_pre_reset_phase* m_inst; static const std::string type_name; @@ -124,11 +124,11 @@ class uvm_reset_phase : public uvm_process_phase { public: - virtual void exec_process( uvm_component* comp, uvm_phase* phase ); + void exec_process( uvm_component* comp, uvm_phase* phase ) override; static uvm_reset_phase* get(); - virtual const std::string get_type_name() const; + const std::string get_type_name() const override; static uvm_reset_phase* m_inst; static const std::string type_name; @@ -164,11 +164,11 @@ class uvm_post_reset_phase : public uvm_process_phase { public: - virtual void exec_process( uvm_component* comp, uvm_phase* phase ); + void exec_process( uvm_component* comp, uvm_phase* phase ) override; static uvm_post_reset_phase* get(); - virtual const std::string get_type_name() const; + const std::string get_type_name() const override; static uvm_post_reset_phase* m_inst; static const std::string type_name; @@ -205,11 +205,11 @@ class uvm_pre_configure_phase : public uvm_process_phase { public: - virtual void exec_process( uvm_component* comp, uvm_phase* phase ); + void exec_process( uvm_component* comp, uvm_phase* phase ) override; static uvm_pre_configure_phase* get(); - virtual const std::string get_type_name() const; + const std::string get_type_name() const override; static uvm_pre_configure_phase* m_inst; static const std::string type_name; @@ -244,11 +244,11 @@ class uvm_configure_phase : public uvm_process_phase { public: - virtual void exec_process( uvm_component* comp, uvm_phase* phase ); + void exec_process( uvm_component* comp, uvm_phase* phase ) override; static uvm_configure_phase* get(); - virtual const std::string get_type_name() const; + const std::string get_type_name() const override; static uvm_configure_phase* m_inst; static const std::string type_name; @@ -284,11 +284,11 @@ class uvm_post_configure_phase : public uvm_process_phase { public: - virtual void exec_process( uvm_component* comp, uvm_phase* phase ); + void exec_process( uvm_component* comp, uvm_phase* phase ) override; static uvm_post_configure_phase* get(); - virtual const std::string get_type_name() const; + const std::string get_type_name() const override; static uvm_post_configure_phase* m_inst; static const std::string type_name; @@ -322,11 +322,11 @@ class uvm_pre_main_phase : public uvm_process_phase { public: - virtual void exec_process( uvm_component* comp, uvm_phase* phase ); + void exec_process( uvm_component* comp, uvm_phase* phase ) override; static uvm_pre_main_phase* get(); - virtual const std::string get_type_name() const; + const std::string get_type_name() const override; static uvm_pre_main_phase* m_inst; static const std::string type_name; @@ -362,11 +362,11 @@ class uvm_main_phase : public uvm_process_phase { public: - virtual void exec_process( uvm_component* comp, uvm_phase* phase ); + void exec_process( uvm_component* comp, uvm_phase* phase ) override; static uvm_main_phase* get(); - virtual const std::string get_type_name() const; + const std::string get_type_name() const override; static uvm_main_phase* m_inst; static const std::string type_name; @@ -399,11 +399,11 @@ class uvm_post_main_phase : public uvm_process_phase { public: - virtual void exec_process( uvm_component* comp, uvm_phase* phase ); + void exec_process( uvm_component* comp, uvm_phase* phase ) override; static uvm_post_main_phase* get(); - virtual const std::string get_type_name() const; + const std::string get_type_name() const override; static uvm_post_main_phase* m_inst; static const std::string type_name; @@ -437,11 +437,11 @@ class uvm_pre_shutdown_phase : public uvm_process_phase { public: - virtual void exec_process( uvm_component* comp, uvm_phase* phase ); + void exec_process( uvm_component* comp, uvm_phase* phase ) override; static uvm_pre_shutdown_phase* get(); - virtual const std::string get_type_name() const; + const std::string get_type_name() const override; static uvm_pre_shutdown_phase* m_inst; static const std::string type_name; @@ -476,11 +476,11 @@ class uvm_shutdown_phase : public uvm_process_phase { public: - virtual void exec_process( uvm_component* comp, uvm_phase* phase ); + void exec_process( uvm_component* comp, uvm_phase* phase ) override; static uvm_shutdown_phase* get(); - virtual const std::string get_type_name() const; + const std::string get_type_name() const override; static uvm_shutdown_phase* m_inst; static const std::string type_name; @@ -517,11 +517,11 @@ class uvm_post_shutdown_phase : public uvm_process_phase { public: - virtual void exec_process( uvm_component* comp, uvm_phase* phase ); + void exec_process( uvm_component* comp, uvm_phase* phase ) override; static uvm_post_shutdown_phase* get(); - virtual const std::string get_type_name() const; + const std::string get_type_name() const override; static uvm_post_shutdown_phase* m_inst; static const std::string type_name; diff --git a/src/uvmsc/phasing/uvm_topdown_phase.h b/src/uvmsc/phasing/uvm_topdown_phase.h index 06506495..08fb219e 100644 --- a/src/uvmsc/phasing/uvm_topdown_phase.h +++ b/src/uvmsc/phasing/uvm_topdown_phase.h @@ -52,12 +52,12 @@ class uvm_topdown_phase : public uvm_phase explicit uvm_topdown_phase( const std::string& name ); - virtual void traverse( uvm_component* comp, + void traverse( uvm_component* comp, uvm_phase* phase, - uvm_phase_state state ); + uvm_phase_state state ) override; - virtual void execute( uvm_component* comp, - uvm_phase* phase ); + void execute( uvm_component* comp, + uvm_phase* phase ) override; }; } // namespace uvm diff --git a/src/uvmsc/policy/uvm_comparer.cpp b/src/uvmsc/policy/uvm_comparer.cpp index 8aad0fb8..1de48188 100644 --- a/src/uvmsc/policy/uvm_comparer.cpp +++ b/src/uvmsc/policy/uvm_comparer.cpp @@ -26,6 +26,7 @@ #include #include +#include "uvmsc/misc/uvm_status_container.h" #include "uvmsc/policy/uvm_comparer.h" #include "uvmsc/base/uvm_root.h" #include "uvmsc/base/uvm_object_globals.h" diff --git a/src/uvmsc/policy/uvm_packer.cpp b/src/uvmsc/policy/uvm_packer.cpp index 0b952b23..88c4c301 100644 --- a/src/uvmsc/policy/uvm_packer.cpp +++ b/src/uvmsc/policy/uvm_packer.cpp @@ -23,6 +23,7 @@ #include #include +#include "uvmsc/misc/uvm_status_container.h" #include "uvmsc/base/uvm_object.h" #include "uvmsc/base/uvm_globals.h" #include "uvmsc/policy/uvm_packer.h" diff --git a/src/uvmsc/policy/uvm_recorder.h b/src/uvmsc/policy/uvm_recorder.h index 34c682f2..7f8b28d9 100644 --- a/src/uvmsc/policy/uvm_recorder.h +++ b/src/uvmsc/policy/uvm_recorder.h @@ -56,7 +56,7 @@ class uvm_recorder : public uvm_object uvm_recorder( const std::string& name = "uvm_recorder" ); - virtual ~uvm_recorder(); + ~uvm_recorder() override; virtual void record_field( const std::string& name, uvm_bitstream_t value, diff --git a/src/uvmsc/print/uvm_table_printer.h b/src/uvmsc/print/uvm_table_printer.h index 9de70c27..188a03c6 100644 --- a/src/uvmsc/print/uvm_table_printer.h +++ b/src/uvmsc/print/uvm_table_printer.h @@ -45,7 +45,7 @@ class uvm_table_printer : public uvm_printer uvm_table_printer(); - virtual std::string emit(); + std::string emit() override; // Variables: m_max_* // diff --git a/src/uvmsc/print/uvm_tree_printer.h b/src/uvmsc/print/uvm_tree_printer.h index eba2eb8f..5b42cb2f 100644 --- a/src/uvmsc/print/uvm_tree_printer.h +++ b/src/uvmsc/print/uvm_tree_printer.h @@ -44,8 +44,8 @@ class uvm_tree_printer: public uvm_printer { public: uvm_tree_printer(); - virtual ~uvm_tree_printer(); - virtual std::string emit(); + ~uvm_tree_printer() override; + std::string emit() override; std::string newline; }; diff --git a/src/uvmsc/reg/sequences/uvm_reg_bit_bash_seq.h b/src/uvmsc/reg/sequences/uvm_reg_bit_bash_seq.h index d5f69af3..72705a62 100644 --- a/src/uvmsc/reg/sequences/uvm_reg_bit_bash_seq.h +++ b/src/uvmsc/reg/sequences/uvm_reg_bit_bash_seq.h @@ -28,6 +28,8 @@ #include #include "uvmsc/reg/uvm_reg_sequence.h" +#include "uvmsc/reg/uvm_reg_block.h" +#include "uvmsc/reg/uvm_reg_field.h" namespace uvm { @@ -79,7 +81,7 @@ class uvm_reg_single_bit_bash_seq : uvm_reg_sequence >(name) {} - virtual void body() + void body() override { std::vector fields; std::string mode[UVM_REG_DATA_WIDTH]; @@ -270,7 +272,7 @@ class uvm_reg_bit_bash_seq // Executes the Register Bit Bash sequence. // Do not call directly. Use seq.start() instead. // - virtual void body() + void body() override { if (model == nullptr) { diff --git a/src/uvmsc/reg/sequences/uvm_reg_mem_hdl_paths_seq.h b/src/uvmsc/reg/sequences/uvm_reg_mem_hdl_paths_seq.h index feae1ecc..f33a347d 100644 --- a/src/uvmsc/reg/sequences/uvm_reg_mem_hdl_paths_seq.h +++ b/src/uvmsc/reg/sequences/uvm_reg_mem_hdl_paths_seq.h @@ -27,6 +27,7 @@ #include #include +#include "uvmsc/reg/uvm_reg_block.h" #include "uvmsc/reg/uvm_reg_sequence.h" #include "uvmsc/dpi/uvm_hdl.h" @@ -72,7 +73,7 @@ class uvm_reg_mem_hdl_paths_seq uvm_reg_mem_hdl_paths_seq( std::string name="uvm_reg_mem_hdl_paths_seq") {} - virtual void body() + void body() override { if (model == nullptr) diff --git a/src/uvmsc/reg/uvm_hdl_path_concat.h b/src/uvmsc/reg/uvm_hdl_path_concat.h index 835197b2..5bee70e1 100644 --- a/src/uvmsc/reg/uvm_hdl_path_concat.h +++ b/src/uvmsc/reg/uvm_hdl_path_concat.h @@ -23,6 +23,8 @@ #ifndef UVM_HDL_PATH_CONCAT_H_ #define UVM_HDL_PATH_CONCAT_H_ +#include +#include namespace uvm { diff --git a/src/uvmsc/reg/uvm_mem.h b/src/uvmsc/reg/uvm_mem.h index e6668180..2882346c 100644 --- a/src/uvmsc/reg/uvm_mem.h +++ b/src/uvmsc/reg/uvm_mem.h @@ -98,7 +98,7 @@ class uvm_mem : public uvm_object // virtual string get_name() const; // inherit from base class - virtual const std::string get_full_name() const; + const std::string get_full_name() const override; virtual uvm_reg_block* get_parent() const; @@ -347,20 +347,20 @@ class uvm_mem : public uvm_object // Implementation-defined: Core uvm_object operations - virtual void do_print( const uvm_printer& printer ) const; + void do_print( const uvm_printer& printer ) const override; - virtual std::string convert2string() const; + std::string convert2string() const override; - virtual uvm_object* clone(); + uvm_object* clone() override; - virtual void do_copy( const uvm_object& rhs); + void do_copy( const uvm_object& rhs) override; - virtual bool do_compare( const uvm_object& rhs, - const uvm_comparer* comparer ) const; + bool do_compare( const uvm_object& rhs, + const uvm_comparer* comparer ) const override; - virtual void do_pack( uvm_packer& packer ) const; + void do_pack( uvm_packer& packer ) const override; - virtual void do_unpack( uvm_packer& packer ); + void do_unpack( uvm_packer& packer ) override; // local data members diff --git a/src/uvmsc/reg/uvm_mem_mam_policy.h b/src/uvmsc/reg/uvm_mem_mam_policy.h index e5e7fba3..c412b4f2 100644 --- a/src/uvmsc/reg/uvm_mem_mam_policy.h +++ b/src/uvmsc/reg/uvm_mem_mam_policy.h @@ -23,6 +23,7 @@ #ifndef UVM_MEM_MAM_POLICY_H_ #define UVM_MEM_MAM_POLICY_H_ +#include "uvmsc/reg/uvm_mem_region.h" namespace uvm { diff --git a/src/uvmsc/reg/uvm_reg.h b/src/uvmsc/reg/uvm_reg.h index 0d58086b..061a8643 100644 --- a/src/uvmsc/reg/uvm_reg.h +++ b/src/uvmsc/reg/uvm_reg.h @@ -97,7 +97,7 @@ class uvm_reg : public uvm_object // virtual string get_name() const; - virtual const std::string get_full_name() const; + const std::string get_full_name() const override; virtual uvm_reg_block* get_parent() const; @@ -397,20 +397,20 @@ class uvm_reg : public uvm_object // Implementation defined - UVM object - virtual void do_print( const uvm_printer& printer ) const; + void do_print( const uvm_printer& printer ) const override; - virtual std::string convert2string() const; + std::string convert2string() const override; - virtual uvm_object* clone(); + uvm_object* clone() override; - virtual void do_copy( const uvm_object& rhs ); + void do_copy( const uvm_object& rhs ) override; - virtual bool do_compare( const uvm_object& rhs, - const uvm_comparer* comparer ) const; + bool do_compare( const uvm_object& rhs, + const uvm_comparer* comparer ) const override; - virtual void do_pack( uvm_packer& packer ) const; + void do_pack( uvm_packer& packer ) const override; - virtual void do_unpack( uvm_packer& packer ); + void do_unpack( uvm_packer& packer ) override; // data members diff --git a/src/uvmsc/reg/uvm_reg_block.h b/src/uvmsc/reg/uvm_reg_block.h index 2ff0ca14..85d929d5 100644 --- a/src/uvmsc/reg/uvm_reg_block.h +++ b/src/uvmsc/reg/uvm_reg_block.h @@ -101,7 +101,7 @@ class uvm_reg_block : public uvm_object //virtual string get_name(); - virtual const std::string get_full_name() const; + const std::string get_full_name() const override; virtual uvm_reg_block* get_parent() const; @@ -291,7 +291,7 @@ class uvm_reg_block : public uvm_object // not part of UVM Class reference / LRM ///////////////////////////////////////////////////// - ~uvm_reg_block(); // destructor + ~uvm_reg_block() override; // destructor private: @@ -317,20 +317,20 @@ class uvm_reg_block : public uvm_object void add_mem( uvm_mem* mem ); - void do_print( const uvm_printer& printer ) const; + void do_print( const uvm_printer& printer ) const override; - uvm_object* clone(); + uvm_object* clone() override; - void do_copy( const uvm_object& rhs ); + void do_copy( const uvm_object& rhs ) override; bool do_compare( const uvm_object& rhs, - const uvm_comparer* comparer ) const; + const uvm_comparer* comparer ) const override; - void do_pack( uvm_packer& packer ) const; + void do_pack( uvm_packer& packer ) const override; - void do_unpack( uvm_packer& packer ); + void do_unpack( uvm_packer& packer ) override; - std::string convert2string() const; + std::string convert2string() const override; void m_init_address_maps(); diff --git a/src/uvmsc/reg/uvm_reg_field.h b/src/uvmsc/reg/uvm_reg_field.h index 6e5cc754..48cc3e9b 100644 --- a/src/uvmsc/reg/uvm_reg_field.h +++ b/src/uvmsc/reg/uvm_reg_field.h @@ -85,7 +85,7 @@ class uvm_reg_field : public uvm_object // virtual string get_name(); // inherit from base class - virtual const std::string get_full_name() const; + const std::string get_full_name() const override; virtual uvm_reg* get_parent() const; @@ -242,14 +242,14 @@ class uvm_reg_field : public uvm_object /////////////////////////////////////////////////////////////////// /////////////////////////////////////////////////////////////////// - virtual void do_print( const uvm_printer& printer ) const; - virtual std::string convert2string() const; - virtual uvm_object* clone(); - virtual void do_copy( const uvm_object& rhs ); - virtual bool do_compare( const uvm_object& rhs, - const uvm_comparer* comparer ) const; - virtual void do_pack( uvm_packer& packer ) const; - virtual void do_unpack( uvm_packer& packer ); + void do_print( const uvm_printer& printer ) const override; + std::string convert2string() const override; + uvm_object* clone() override; + void do_copy( const uvm_object& rhs ) override; + bool do_compare( const uvm_object& rhs, + const uvm_comparer* comparer ) const override; + void do_pack( uvm_packer& packer ) const override; + void do_unpack( uvm_packer& packer ) override; private: diff --git a/src/uvmsc/reg/uvm_reg_fifo.h b/src/uvmsc/reg/uvm_reg_fifo.h index c3535863..0c5aa0db 100644 --- a/src/uvmsc/reg/uvm_reg_fifo.h +++ b/src/uvmsc/reg/uvm_reg_fifo.h @@ -70,35 +70,35 @@ class uvm_reg_fifo : public uvm_reg // virtual void read(...) - inherited from base class - virtual void set( uvm_reg_data_t value, + void set( uvm_reg_data_t value, const std::string& fname = "", - int lineno = 0 ); + int lineno = 0 ) override; - virtual void update( uvm_status_e& status, + void update( uvm_status_e& status, uvm_path_e path = UVM_DEFAULT_PATH, uvm_reg_map* map = nullptr, uvm_sequence_base* parent = nullptr, int prior = -1, uvm_object* extension = nullptr, const std::string& fname = "", - int lineno = 0 ); + int lineno = 0 ) override; // virtual void mirror(...) - inherited from base class - virtual uvm_reg_data_t get( const std::string& fname = "", - int lineno = 0 ) const; + uvm_reg_data_t get( const std::string& fname = "", + int lineno = 0 ) const override; - virtual void do_predict( uvm_reg_item* rw, + void do_predict( uvm_reg_item* rw, uvm_predict_e kind = UVM_PREDICT_DIRECT, - uvm_reg_byte_en_t be = -1 ); // TODO is -1 allowed ? + uvm_reg_byte_en_t be = -1 ) override; // TODO is -1 allowed ? //-------------------------------------------------------------------- // Group: Special Overrides //-------------------------------------------------------------------- - virtual void pre_write( uvm_reg_item* rw ); + void pre_write( uvm_reg_item* rw ) override; - virtual void pre_read( uvm_reg_item* rw ); + void pre_read( uvm_reg_item* rw ) override; // data members diff --git a/src/uvmsc/reg/uvm_reg_file.h b/src/uvmsc/reg/uvm_reg_file.h index fb7e2f95..f9d514eb 100644 --- a/src/uvmsc/reg/uvm_reg_file.h +++ b/src/uvmsc/reg/uvm_reg_file.h @@ -74,7 +74,7 @@ class uvm_reg_file : public uvm_object // virtual string get_name() const; // inherited from base class - virtual const std::string get_full_name() const; + const std::string get_full_name() const override; virtual uvm_reg_block* get_parent() const; @@ -108,24 +108,24 @@ class uvm_reg_file : public uvm_object ///////////////////////////////////////////////////// // destructor - ~uvm_reg_file(); + ~uvm_reg_file() override; private: - virtual void do_print( const uvm_printer& printer ) const; + void do_print( const uvm_printer& printer ) const override; - virtual std::string convert2string() const; + std::string convert2string() const override; - virtual uvm_object* clone(); + uvm_object* clone() override; - virtual void do_copy( const uvm_object& rhs ); + void do_copy( const uvm_object& rhs ) override; - virtual bool do_compare( const uvm_object& rhs, - const uvm_comparer* comparer) const; + bool do_compare( const uvm_object& rhs, + const uvm_comparer* comparer) const override; - virtual void do_pack( uvm_packer& packer ) const; + void do_pack( uvm_packer& packer ) const override; - virtual void do_unpack( uvm_packer& packer ); + void do_unpack( uvm_packer& packer ) override; // local data members diff --git a/src/uvmsc/reg/uvm_reg_indirect_data.h b/src/uvmsc/reg/uvm_reg_indirect_data.h index 0287ed6e..0dc7a3b1 100644 --- a/src/uvmsc/reg/uvm_reg_indirect_data.h +++ b/src/uvmsc/reg/uvm_reg_indirect_data.h @@ -78,40 +78,40 @@ class uvm_reg_indirect_data : public uvm_reg virtual void build(); - virtual void add_map( uvm_reg_map* map ); + void add_map( uvm_reg_map* map ) override; void add_frontdoors( uvm_reg_map* map ); - virtual void do_predict( uvm_reg_item* rw, + void do_predict( uvm_reg_item* rw, uvm_predict_e kind = UVM_PREDICT_DIRECT, - uvm_reg_byte_en_t be = -1 ); + uvm_reg_byte_en_t be = -1 ) override; #if defined(ALLOW_NON_IEEE_1800_2_2020) protected: #endif - virtual uvm_reg_map* get_local_map( const uvm_reg_map* map, const std::string& caller = "" ) const; + uvm_reg_map* get_local_map( const uvm_reg_map* map, const std::string& caller = "" ) const override; #if defined(ALLOW_NON_IEEE_1800_2_2020) private: #endif - virtual void add_field( uvm_reg_field* field ); + void add_field( uvm_reg_field* field ) override; - virtual void set( uvm_reg_data_t value, + void set( uvm_reg_data_t value, const std::string& fname = "", - int lineno = 0 ); + int lineno = 0 ) override; - virtual uvm_reg_data_t get( const std::string& fname = "", - int lineno = 0 ) const; + uvm_reg_data_t get( const std::string& fname = "", + int lineno = 0 ) const override; virtual uvm_reg* get_indirect_reg( const std::string& fname = "", int lineno = 0 ) const; - virtual bool needs_update() const; + bool needs_update() const override; #if defined(ALLOW_NON_IEEE_1800_2_2020) protected: #endif - virtual void write( uvm_status_e& status, + void write( uvm_status_e& status, uvm_reg_data_t value, uvm_path_e path = UVM_DEFAULT_PATH, uvm_reg_map* map = nullptr, @@ -119,9 +119,9 @@ class uvm_reg_indirect_data : public uvm_reg int prior = -1, uvm_object* extension = nullptr, const std::string& fname = "", - int lineno = 0); + int lineno = 0) override; - virtual void read( uvm_status_e& status, + void read( uvm_status_e& status, uvm_reg_data_t& value, uvm_path_e path = UVM_DEFAULT_PATH, uvm_reg_map* map = nullptr, @@ -129,37 +129,37 @@ class uvm_reg_indirect_data : public uvm_reg int prior = -1, uvm_object* extension = nullptr, const std::string& fname = "", - int lineno = 0 ); + int lineno = 0 ) override; #if defined(ALLOW_NON_IEEE_1800_2_2020) private: #endif - virtual void poke( uvm_status_e& status, + void poke( uvm_status_e& status, uvm_reg_data_t value, const std::string& kind = "", uvm_sequence_base* parent = nullptr, uvm_object* extension = nullptr, const std::string& fname = "", - int lineno = 0 ); + int lineno = 0 ) override; - virtual void peek( uvm_status_e& status, + void peek( uvm_status_e& status, uvm_reg_data_t& value, const std::string& kind = "", uvm_sequence_base* parent = nullptr, uvm_object* extension = nullptr, const std::string& fname = "", - int lineno = 0 ); + int lineno = 0 ) override; - virtual void update( uvm_status_e& status, + void update( uvm_status_e& status, uvm_path_e path = UVM_DEFAULT_PATH, uvm_reg_map* map = nullptr, uvm_sequence_base* parent = nullptr, int prior = -1, uvm_object* extension = nullptr, const std::string& fname = "", - int lineno = 0); + int lineno = 0) override; - virtual void mirror( uvm_status_e& status, + void mirror( uvm_status_e& status, uvm_check_e check = UVM_NO_CHECK, uvm_path_e path = UVM_DEFAULT_PATH, uvm_reg_map* map = nullptr, @@ -167,7 +167,7 @@ class uvm_reg_indirect_data : public uvm_reg int prior = -1, uvm_object* extension = nullptr, const std::string& fname = "", - int lineno = 0); + int lineno = 0) override; protected: uvm_reg* m_idx; diff --git a/src/uvmsc/reg/uvm_reg_indirect_ftdr_seq.h b/src/uvmsc/reg/uvm_reg_indirect_ftdr_seq.h index 91b67549..35e2e4b8 100644 --- a/src/uvmsc/reg/uvm_reg_indirect_ftdr_seq.h +++ b/src/uvmsc/reg/uvm_reg_indirect_ftdr_seq.h @@ -39,7 +39,7 @@ class uvm_reg_indirect_ftdr_seq : public uvm_reg_frontdoor int idx, uvm_reg* data_reg); - virtual void body(); + void body() override; private: // local data members diff --git a/src/uvmsc/reg/uvm_reg_item.h b/src/uvmsc/reg/uvm_reg_item.h index 513afb80..7ae79d8b 100644 --- a/src/uvmsc/reg/uvm_reg_item.h +++ b/src/uvmsc/reg/uvm_reg_item.h @@ -55,9 +55,9 @@ class uvm_reg_item : public uvm_sequence_item explicit uvm_reg_item( const std::string& name = "" ); - virtual std::string convert2string() const; + std::string convert2string() const override; - virtual void do_copy( const uvm_object& rhs ); + void do_copy( const uvm_object& rhs ) override; UVM_OBJECT_UTILS(uvm_reg_item) diff --git a/src/uvmsc/reg/uvm_reg_map.cpp b/src/uvmsc/reg/uvm_reg_map.cpp index 5c57e071..edc053e1 100644 --- a/src/uvmsc/reg/uvm_reg_map.cpp +++ b/src/uvmsc/reg/uvm_reg_map.cpp @@ -72,7 +72,7 @@ class reg_rw : public uvm_sequence_item `uvm_object_utils_end */ - std::string convert2string() const + std::string convert2string() const override { std::ostringstream str; diff --git a/src/uvmsc/reg/uvm_reg_map.h b/src/uvmsc/reg/uvm_reg_map.h index bc140ec9..71e2321b 100644 --- a/src/uvmsc/reg/uvm_reg_map.h +++ b/src/uvmsc/reg/uvm_reg_map.h @@ -139,7 +139,7 @@ class uvm_reg_map : public uvm_object // virtual string get_name() const; // inherit from base class - virtual const std::string get_full_name() const; + const std::string get_full_name() const override; virtual uvm_reg_map* get_root_map() const; @@ -217,7 +217,7 @@ class uvm_reg_map : public uvm_object // not part of UVM Class reference / LRM ///////////////////////////////////////////////////// - virtual ~uvm_reg_map(); // destructor + ~uvm_reg_map() override; // destructor virtual void add_parent_map( uvm_reg_map* parent_map, uvm_reg_addr_t offset ); @@ -252,11 +252,11 @@ class uvm_reg_map : public uvm_object // Implementation defined: UVM object methods //---------------------------------------------------------------------------- - virtual std::string convert2string() const; + std::string convert2string() const override; - virtual uvm_object* clone(); - virtual void do_print( const uvm_printer& printer ) const; - virtual void do_copy( const uvm_object& rhs ); + uvm_object* clone() override; + void do_print( const uvm_printer& printer ) const override; + void do_copy( const uvm_object& rhs ) override; // TODO add these methods? // virtual bool do_compare( const uvm_object& rhs, const uvm_comparer* comparer); // virtual void do_pack( uvm_packer& packer ); diff --git a/src/uvmsc/reg/uvm_reg_predictor.h b/src/uvmsc/reg/uvm_reg_predictor.h index 7e347cae..58b2b559 100644 --- a/src/uvmsc/reg/uvm_reg_predictor.h +++ b/src/uvmsc/reg/uvm_reg_predictor.h @@ -29,6 +29,7 @@ #include #include +#include "uvmsc/base/uvm_component_name.h" #include "uvmsc/base/uvm_component.h" #include "uvmsc/macros/uvm_component_defines.h" #include "uvmsc/factory/uvm_component_registry.h" @@ -37,6 +38,7 @@ #include "uvmsc/reg/uvm_reg_indirect_data.h" #include "uvmsc/reg/uvm_reg_map.h" #include "uvmsc/reg/uvm_reg_bus_op.h" +#include "uvmsc/reg/uvm_reg_block.h" #include "uvmsc/tlm1/uvm_analysis_port.h" #include "uvmsc/tlm1/uvm_analysis_imp.h" #include "uvmsc/phasing/uvm_phase.h" @@ -102,9 +104,9 @@ class uvm_reg_predictor : public uvm_component, virtual void pre_predict( uvm_reg_item* rw ); - virtual void write( const BUSTYPE& tr ); + void write( const BUSTYPE& tr ) override; - virtual void check_phase( uvm_phase& phase ); + void check_phase( uvm_phase& phase ) override; // data members diff --git a/src/uvmsc/reg/uvm_reg_read_only_cbs.h b/src/uvmsc/reg/uvm_reg_read_only_cbs.h index 1e78e970..1c5e8fc9 100644 --- a/src/uvmsc/reg/uvm_reg_read_only_cbs.h +++ b/src/uvmsc/reg/uvm_reg_read_only_cbs.h @@ -48,7 +48,7 @@ class uvm_reg_read_only_cbs : public uvm_reg_cbs UVM_OBJECT_UTILS(uvm_reg_read_only_cbs) - virtual void pre_write( uvm_reg_item* rw ); + void pre_write( uvm_reg_item* rw ) override; static void add( uvm_reg* rg ); diff --git a/src/uvmsc/reg/uvm_reg_sequence.h b/src/uvmsc/reg/uvm_reg_sequence.h index 9db4f232..007febf2 100644 --- a/src/uvmsc/reg/uvm_reg_sequence.h +++ b/src/uvmsc/reg/uvm_reg_sequence.h @@ -76,7 +76,7 @@ class uvm_reg_sequence : public BASE explicit uvm_reg_sequence(const std::string& name = "uvm_reg_sequence_inst" ); - virtual void body(); + void body() override; virtual void do_reg_item( uvm_reg_item* rw ); @@ -214,7 +214,7 @@ class uvm_reg_sequence : public BASE private: - virtual void put_response( const uvm_sequence_item& response_item ); + void put_response( const uvm_sequence_item& response_item ) override; // other local data members diff --git a/src/uvmsc/reg/uvm_reg_tlm_adapter.h b/src/uvmsc/reg/uvm_reg_tlm_adapter.h index aba42c87..7d4b5ead 100644 --- a/src/uvmsc/reg/uvm_reg_tlm_adapter.h +++ b/src/uvmsc/reg/uvm_reg_tlm_adapter.h @@ -44,10 +44,10 @@ class uvm_reg_tlm_adapter : public uvm_reg_adapter uvm_reg_tlm_adapter( const std::string& name = "uvm_reg_tlm_adapter" ); - virtual uvm_sequence_item* reg2bus( const uvm_reg_bus_op& rw ); + uvm_sequence_item* reg2bus( const uvm_reg_bus_op& rw ) override; - virtual void bus2reg( const uvm_sequence_item* bus_item, - uvm_reg_bus_op& rw ); + void bus2reg( const uvm_sequence_item* bus_item, + uvm_reg_bus_op& rw ) override; }; // class uvm_reg_tlm_adapter diff --git a/src/uvmsc/reg/uvm_reg_write_only_cbs.h b/src/uvmsc/reg/uvm_reg_write_only_cbs.h index da0e2593..e7fb4deb 100644 --- a/src/uvmsc/reg/uvm_reg_write_only_cbs.h +++ b/src/uvmsc/reg/uvm_reg_write_only_cbs.h @@ -48,7 +48,7 @@ class uvm_reg_write_only_cbs : public uvm_reg_cbs UVM_OBJECT_UTILS(uvm_reg_write_only_cbs) - virtual void pre_read( uvm_reg_item* rw ); + void pre_read( uvm_reg_item* rw ) override; static void add( uvm_reg* rg ); diff --git a/src/uvmsc/reg/uvm_vreg.h b/src/uvmsc/reg/uvm_vreg.h index 2be6b776..3d675ce1 100644 --- a/src/uvmsc/reg/uvm_vreg.h +++ b/src/uvmsc/reg/uvm_vreg.h @@ -112,7 +112,7 @@ class uvm_vreg : public uvm_object //virtual std::string get_name() const; // inherit from base class - virtual const std::string get_full_name() const; + const std::string get_full_name() const override; virtual uvm_reg_block* get_parent() const; @@ -231,20 +231,20 @@ class uvm_vreg : public uvm_object virtual uvm_reg_block* get_block() const; - virtual void do_print( const uvm_printer& printer ) const; + void do_print( const uvm_printer& printer ) const override; - virtual std::string convert2string() const; + std::string convert2string() const override; - virtual uvm_object* clone(); + uvm_object* clone() override; - virtual void do_copy( const uvm_object& rhs ); + void do_copy( const uvm_object& rhs ) override; - virtual bool do_compare( const uvm_object& rhs, - const uvm_comparer* comparer ) const; + bool do_compare( const uvm_object& rhs, + const uvm_comparer* comparer ) const override; - virtual void do_pack( uvm_packer& packer ) const; + void do_pack( uvm_packer& packer ) const override; - virtual void do_unpack( uvm_packer& packer ); + void do_unpack( uvm_packer& packer ) override; // local data members private: diff --git a/src/uvmsc/reg/uvm_vreg_cbs.h b/src/uvmsc/reg/uvm_vreg_cbs.h index a30b5192..cce86f20 100644 --- a/src/uvmsc/reg/uvm_vreg_cbs.h +++ b/src/uvmsc/reg/uvm_vreg_cbs.h @@ -22,6 +22,7 @@ #ifndef UVM_VREG_CBS_H_ #define UVM_VREG_CBS_H_ +#include "uvmsc/reg/uvm_reg_model.h" #include "uvmsc/cb/uvm_callback.h" namespace uvm { diff --git a/src/uvmsc/reg/uvm_vreg_field.h b/src/uvmsc/reg/uvm_vreg_field.h index 547ba348..8b52b575 100644 --- a/src/uvmsc/reg/uvm_vreg_field.h +++ b/src/uvmsc/reg/uvm_vreg_field.h @@ -70,7 +70,7 @@ class uvm_vreg_field : public uvm_object // virtual get_name() const; - virtual const std::string get_full_name() const; + const std::string get_full_name() const override; virtual uvm_vreg* get_parent() const; @@ -160,14 +160,14 @@ class uvm_vreg_field : public uvm_object // Implementation defined: UVM object methods //-------------------------------------------------------------------- - virtual void do_print( const uvm_printer& printer ) const; - virtual std::string convert2string() const; - virtual uvm_object* clone(); - virtual void do_copy( const uvm_object& rhs ); - virtual bool do_compare( const uvm_object& rhs, - const uvm_comparer* comparer ) const; - virtual void do_pack( uvm_packer& packer ) const; - virtual void do_unpack( uvm_packer& packer ); + void do_print( const uvm_printer& printer ) const override; + std::string convert2string() const override; + uvm_object* clone() override; + void do_copy( const uvm_object& rhs ) override; + bool do_compare( const uvm_object& rhs, + const uvm_comparer* comparer ) const override; + void do_pack( uvm_packer& packer ) const override; + void do_unpack( uvm_packer& packer ) override; private: uvm_vreg* m_parent; diff --git a/src/uvmsc/report/uvm_default_report_server.h b/src/uvmsc/report/uvm_default_report_server.h index ab02b8d5..bc133112 100644 --- a/src/uvmsc/report/uvm_default_report_server.h +++ b/src/uvmsc/report/uvm_default_report_server.h @@ -55,19 +55,19 @@ class uvm_default_report_server : public uvm_report_server uvm_default_report_server( const std::string& name = "uvm_report_server" ); - virtual void do_print( const uvm_printer& printer ) const; + void do_print( const uvm_printer& printer ) const override; //-------------------------------------------------------------------------- // Group: Quit Count //-------------------------------------------------------------------------- - int get_max_quit_count() const; + int get_max_quit_count() const override; - void set_max_quit_count( int count, bool overridable = true ); + void set_max_quit_count( int count, bool overridable = true ) override; - int get_quit_count() const; + int get_quit_count() const override; - void set_quit_count( int quit_count ); + void set_quit_count( int quit_count ) override; void incr_quit_count(); @@ -79,9 +79,9 @@ class uvm_default_report_server : public uvm_report_server // Group: Severity Count //-------------------------------------------------------------------------- - int get_severity_count( uvm_severity severity ) const; + int get_severity_count( uvm_severity severity ) const override; - void set_severity_count( uvm_severity severity, int count ); + void set_severity_count( uvm_severity severity, int count ) override; void incr_severity_count( uvm_severity severity ); @@ -91,9 +91,9 @@ class uvm_default_report_server : public uvm_report_server // Group: id Count //-------------------------------------------------------------------------- - int get_id_count( const std::string& id ) const; + int get_id_count( const std::string& id ) const override; - void set_id_count( const std::string& id, int count ); + void set_id_count( const std::string& id, int count ) override; void incr_id_count( const std::string& id ); @@ -105,23 +105,23 @@ class uvm_default_report_server : public uvm_report_server // virtual uvm_tr_database* get_message_database() const; - virtual void get_severity_set( std::vector& q ) const; + void get_severity_set( std::vector& q ) const override; - virtual void get_id_set( std::vector& q ) const; + void get_id_set( std::vector& q ) const override; //---------------------------------------------------------------------------- // Group: Message processing //---------------------------------------------------------------------------- - virtual void process_report_message( uvm_report_message* report_message ); + void process_report_message( uvm_report_message* report_message ) override; - virtual void execute_report_message( uvm_report_message* report_message, - const std::string& composed_message ); + void execute_report_message( uvm_report_message* report_message, + const std::string& composed_message ) override; - virtual std::string compose_report_message( uvm_report_message* report_message, - const std::string& report_object_name = "") const; + std::string compose_report_message( uvm_report_message* report_message, + const std::string& report_object_name = "") const override; - virtual void report_summarize( UVM_FILE file = 0 ) const; + void report_summarize( UVM_FILE file = 0 ) const override; // variables in UVM 1.2 class definition - TODO make methods @@ -141,7 +141,7 @@ class uvm_default_report_server : public uvm_report_server - const std::string get_type_name() const; + const std::string get_type_name() const override; private: // local data members diff --git a/src/uvmsc/report/uvm_report_handler.h b/src/uvmsc/report/uvm_report_handler.h index f733b07c..d7e4769e 100644 --- a/src/uvmsc/report/uvm_report_handler.h +++ b/src/uvmsc/report/uvm_report_handler.h @@ -78,7 +78,7 @@ class uvm_report_handler : public uvm_object uvm_report_handler( const std::string name = "uvm_report_handler"); - void do_print( const uvm_printer& printer ) const; + void do_print( const uvm_printer& printer ) const override; //-------------------------------------------------------------------- // Group: Message processing @@ -115,7 +115,7 @@ class uvm_report_handler : public uvm_object int line = 0, uvm_report_object* client = nullptr ); - virtual ~uvm_report_handler(); + ~uvm_report_handler() override; private: diff --git a/src/uvmsc/report/uvm_report_message.h b/src/uvmsc/report/uvm_report_message.h index d85d2063..0932218b 100644 --- a/src/uvmsc/report/uvm_report_message.h +++ b/src/uvmsc/report/uvm_report_message.h @@ -95,10 +95,10 @@ class uvm_report_message_int_element int size, uvm_radix_enum radix); - virtual void do_print( const uvm_printer& printer ) const; - virtual void do_record( const uvm_recorder& recorder ); - virtual void do_copy( const uvm_report_message_element_base& rhs ); - virtual uvm_report_message_element_base* do_clone(); + void do_print( const uvm_printer& printer ) const override; + void do_record( const uvm_recorder& recorder ) override; + void do_copy( const uvm_report_message_element_base& rhs ) override; + uvm_report_message_element_base* do_clone() override; private: ~uvm_report_message_int_element(); @@ -126,13 +126,13 @@ class uvm_report_message_string_element virtual void set_value( const std::string& value ); - virtual void do_print( const uvm_printer& printer ) const; + void do_print( const uvm_printer& printer ) const override; - virtual void do_record( const uvm_recorder& recorder ); + void do_record( const uvm_recorder& recorder ) override; - virtual void do_copy( const uvm_report_message_element_base& rhs ); + void do_copy( const uvm_report_message_element_base& rhs ) override; - virtual uvm_report_message_element_base* do_clone(); + uvm_report_message_element_base* do_clone() override; private: ~uvm_report_message_string_element(); @@ -158,13 +158,13 @@ class uvm_report_message_object_element virtual void set_value( uvm_object* value ); - virtual void do_print( const uvm_printer& printer ) const; + void do_print( const uvm_printer& printer ) const override; - virtual void do_record( const uvm_recorder& recorder ); + void do_record( const uvm_recorder& recorder ) override; - virtual void do_copy( const uvm_report_message_element_base& rhs ); + void do_copy( const uvm_report_message_element_base& rhs ) override; - virtual uvm_report_message_element_base* do_clone(); + uvm_report_message_element_base* do_clone() override; private: ~uvm_report_message_object_element(); @@ -210,11 +210,11 @@ class uvm_report_message_element_container : public uvm_object uvm_object* obj, uvm_action action = (UVM_LOG | UVM_RM_RECORD) ); - virtual void do_print( const uvm_printer& printer ) const; + void do_print( const uvm_printer& printer ) const override; - virtual void do_record( const uvm_recorder& recorder ); + void do_record( const uvm_recorder& recorder ) override; - virtual void do_copy( const uvm_object& rhs ); + void do_copy( const uvm_object& rhs ) override; private: std::vector m_elements; @@ -244,7 +244,7 @@ class uvm_report_message : public uvm_object static uvm_report_message* new_report_message(const std::string& name = "uvm_report_message"); - virtual void do_print( const uvm_printer& printer ) const; + void do_print( const uvm_printer& printer ) const override; UVM_OBJECT_UTILS(uvm_report_message); @@ -252,7 +252,7 @@ class uvm_report_message : public uvm_object // do_unpack() not needed // do_compare() not needed - virtual void do_copy( const uvm_object& rhs ); + void do_copy( const uvm_object& rhs ) override; //---------------------------------------------------------------------- // Group: Infrastructure References @@ -331,7 +331,7 @@ class uvm_report_message : public uvm_object virtual void m_record_core_properties( const uvm_recorder& recorder ); // Implementation defined - virtual void do_record( const uvm_recorder& recorder ); + void do_record( const uvm_recorder& recorder ) override; //---------------------------------------------------------------------------- // Group: Message Element APIs diff --git a/src/uvmsc/report/uvm_report_object.h b/src/uvmsc/report/uvm_report_object.h index d9ab1562..facd1e87 100644 --- a/src/uvmsc/report/uvm_report_object.h +++ b/src/uvmsc/report/uvm_report_object.h @@ -193,7 +193,7 @@ class uvm_report_object : public uvm_object ///////////////////////////////////////////////////// ///////////////////////////////////////////////////// - virtual ~uvm_report_object(); + ~uvm_report_object() override; void start_report_handler(const std::string& name); diff --git a/src/uvmsc/report/uvm_report_server.h b/src/uvmsc/report/uvm_report_server.h index f722bd6e..7e29cece 100644 --- a/src/uvmsc/report/uvm_report_server.h +++ b/src/uvmsc/report/uvm_report_server.h @@ -55,7 +55,7 @@ class uvm_report_server : public uvm_object uvm_report_server( const std::string& name = "base" ); - const std::string get_type_name() const; + const std::string get_type_name() const override; virtual void set_max_quit_count( int count, bool overridable = true ) = 0; @@ -82,7 +82,7 @@ class uvm_report_server : public uvm_object // virtual uvm_tr_database* get_message_database() const = 0; - void do_copy( const uvm_object& rhs ); + void do_copy( const uvm_object& rhs ) override; virtual void process_report_message( uvm_report_message* report_message ) = 0; diff --git a/src/uvmsc/seq/uvm_sequence.h b/src/uvmsc/seq/uvm_sequence.h index 8e887b33..ccac961f 100644 --- a/src/uvmsc/seq/uvm_sequence.h +++ b/src/uvmsc/seq/uvm_sequence.h @@ -41,9 +41,9 @@ class uvm_sequence : public uvm_sequence_base { public: explicit uvm_sequence( uvm_object_name name_ ); - virtual ~uvm_sequence(); + ~uvm_sequence() override; - void send_request( uvm_sequence_item* request, bool rerandomize = false ); + void send_request( uvm_sequence_item* request, bool rerandomize = false ) override; REQ get_current_item() const; @@ -70,9 +70,9 @@ class uvm_sequence : public uvm_sequence_base private: - virtual void put_response( const uvm_sequence_item& response_item ); + void put_response( const uvm_sequence_item& response_item ) override; - void do_print( const uvm_printer& printer ) const; + void do_print( const uvm_printer& printer ) const override; uvm_sequencer_param_base* param_sequencer; diff --git a/src/uvmsc/seq/uvm_sequence_base.h b/src/uvmsc/seq/uvm_sequence_base.h index a27b0444..6fbac0b0 100644 --- a/src/uvmsc/seq/uvm_sequence_base.h +++ b/src/uvmsc/seq/uvm_sequence_base.h @@ -54,13 +54,13 @@ class uvm_sequence_base: public uvm_sequence_item public: explicit uvm_sequence_base( uvm_object_name name_ ); - virtual ~uvm_sequence_base(); + ~uvm_sequence_base() override; //-------------------------------------------------------------------------- // UVM Standard LRM API below //-------------------------------------------------------------------------- - virtual bool is_item() const; + bool is_item() const override; uvm_sequence_state_enum get_sequence_state() const; diff --git a/src/uvmsc/seq/uvm_sequence_item.h b/src/uvmsc/seq/uvm_sequence_item.h index f284dad3..860da5d8 100644 --- a/src/uvmsc/seq/uvm_sequence_item.h +++ b/src/uvmsc/seq/uvm_sequence_item.h @@ -56,7 +56,7 @@ class uvm_sequence_item: public uvm_transaction uvm_sequence_item(); explicit uvm_sequence_item( uvm_object_name name_ ); - virtual ~uvm_sequence_item(); + ~uvm_sequence_item() override; //-------------------------------------------------------------------------- // UVM Standard LRM API below @@ -150,11 +150,11 @@ class uvm_sequence_item: public uvm_transaction uvm_severity severity = UVM_INFO, std::string id = "" ) const; - virtual const std::string get_full_name() const; + const std::string get_full_name() const override; - virtual const std::string get_type_name() const { return "uvm::uvm_sequence_item"; } + const std::string get_type_name() const override { return "uvm::uvm_sequence_item"; } - virtual void do_print( const uvm_printer& printer ) const; + void do_print( const uvm_printer& printer ) const override; protected: diff --git a/src/uvmsc/seq/uvm_sequencer.h b/src/uvmsc/seq/uvm_sequencer.h index 53ca5763..b6ab093b 100644 --- a/src/uvmsc/seq/uvm_sequencer.h +++ b/src/uvmsc/seq/uvm_sequencer.h @@ -26,7 +26,7 @@ #define UVM_SEQUENCER_H_ #include -#include +#include #include "uvmsc/base/uvm_component_name.h" #include "uvmsc/seq/uvm_sequencer_param_base.h" diff --git a/src/uvmsc/seq/uvm_sequencer_base.h b/src/uvmsc/seq/uvm_sequencer_base.h index 9e894861..82503942 100644 --- a/src/uvmsc/seq/uvm_sequencer_base.h +++ b/src/uvmsc/seq/uvm_sequencer_base.h @@ -71,7 +71,7 @@ class uvm_sequencer_base : public uvm_component SEQ_TYPE_GRAB} seq_req_t; explicit uvm_sequencer_base( uvm_component_name name_ ); - virtual ~uvm_sequencer_base(); + ~uvm_sequencer_base() override; bool is_child( uvm_sequence_base* parent, const uvm_sequence_base* child ) const; @@ -110,16 +110,16 @@ class uvm_sequencer_base : public uvm_component uvm_sequence_item* seq_item, bool rerandomize = false); - virtual void build_phase( uvm_phase& phase ); + void build_phase( uvm_phase& phase ) override; ///////////////////////////////////////////////////// // Implementation-defined member functions below, // not part of UVM Class reference / LRM ///////////////////////////////////////////////////// - virtual const char* kind() const; // SystemC API + const char* kind() const override; // SystemC API - virtual const std::string get_type_name() const; + const std::string get_type_name() const override; private: @@ -138,7 +138,7 @@ class uvm_sequencer_base : public uvm_component void m_wait_arb_not_equal(); uvm_sequence_base* m_find_sequence(int sequence_id); void m_kill_sequence( uvm_sequence_base* sequence_ptr ); - virtual void do_print( const uvm_printer& printer ) const; + void do_print( const uvm_printer& printer ) const override; virtual void analysis_write(uvm_sequence_item t); diff --git a/src/uvmsc/seq/uvm_sequencer_ifs.h b/src/uvmsc/seq/uvm_sequencer_ifs.h index 13d72389..3fb015b3 100644 --- a/src/uvmsc/seq/uvm_sequencer_ifs.h +++ b/src/uvmsc/seq/uvm_sequencer_ifs.h @@ -20,7 +20,7 @@ #ifndef UVM_SEQUENCER_IF_H_ #define UVM_SEQUENCER_IF_H_ -#include +#include namespace uvm { diff --git a/src/uvmsc/seq/uvm_sequencer_param_base.h b/src/uvmsc/seq/uvm_sequencer_param_base.h index 03438667..def68c4c 100644 --- a/src/uvmsc/seq/uvm_sequencer_param_base.h +++ b/src/uvmsc/seq/uvm_sequencer_param_base.h @@ -24,7 +24,7 @@ #define UVM_SEQUENCER_PARAM_BASE_H_ #include -#include +#include #include @@ -62,11 +62,11 @@ class uvm_sequencer_param_base : public uvm_sequencer_base uvm_sequencer_analysis_fifo sqr_rsp_analysis_fifo; explicit uvm_sequencer_param_base( uvm_component_name name_ ); - virtual ~uvm_sequencer_param_base(); + ~uvm_sequencer_param_base() override; void send_request(uvm_sequence_base* sequence_ptr, uvm_sequence_item* seq_item, - bool rerandomize = false); + bool rerandomize = false) override; REQ get_current_item() const; @@ -89,14 +89,14 @@ class uvm_sequencer_param_base : public uvm_sequencer_base // not part of UVM Class reference / LRM ///////////////////////////////////////////////////// - virtual const char* kind() const; // SystemC API - virtual const std::string get_type_name() const; + const char* kind() const override; // SystemC API + const std::string get_type_name() const override; void put_response_base( const RSP& rsp ); void m_last_req_push_front( const REQ& item ); void m_last_rsp_push_front( const RSP& item ); - virtual void connect_phase( uvm_phase& phase ); - virtual void build_phase( uvm_phase& phase ); + void connect_phase( uvm_phase& phase ) override; + void build_phase( uvm_phase& phase ) override; private: // class data members diff --git a/src/uvmsc/tlm1/uvm_analysis_export.h b/src/uvmsc/tlm1/uvm_analysis_export.h index bd746f97..757f97df 100644 --- a/src/uvmsc/tlm1/uvm_analysis_export.h +++ b/src/uvmsc/tlm1/uvm_analysis_export.h @@ -21,6 +21,7 @@ #define UVM_ANALYSIS_EXPORT_H_ #include +#include #include namespace uvm { diff --git a/src/uvmsc/tlm1/uvm_analysis_imp.h b/src/uvmsc/tlm1/uvm_analysis_imp.h index a2c9e71f..c7eb3fa1 100644 --- a/src/uvmsc/tlm1/uvm_analysis_imp.h +++ b/src/uvmsc/tlm1/uvm_analysis_imp.h @@ -21,6 +21,7 @@ #define UVM_ANALYSIS_IMP_H_ #include +#include #include namespace uvm { diff --git a/src/uvmsc/tlm1/uvm_analysis_port.h b/src/uvmsc/tlm1/uvm_analysis_port.h index 67fdb939..dd5db8f9 100644 --- a/src/uvmsc/tlm1/uvm_analysis_port.h +++ b/src/uvmsc/tlm1/uvm_analysis_port.h @@ -21,6 +21,7 @@ #define UVM_ANALYSIS_PORT_H_ #include +#include #include namespace uvm { diff --git a/src/uvmsc/tlm1/uvm_exports.h b/src/uvmsc/tlm1/uvm_exports.h index 69dc21d2..d324204a 100644 --- a/src/uvmsc/tlm1/uvm_exports.h +++ b/src/uvmsc/tlm1/uvm_exports.h @@ -21,7 +21,8 @@ #define UVM_EXPORTS_H_ #include -#include +#include +#include "uvmsc/base/uvm_export_base.h" namespace uvm { diff --git a/src/uvmsc/tlm1/uvm_imps.h b/src/uvmsc/tlm1/uvm_imps.h index c8f5b49c..9da78f8f 100644 --- a/src/uvmsc/tlm1/uvm_imps.h +++ b/src/uvmsc/tlm1/uvm_imps.h @@ -21,8 +21,8 @@ #define UVM_IMPS_H_ #include -#include - +#include +#include "uvmsc/base/uvm_export_base.h" //#include "base/uvm_globals.h" //#include "macros/uvm_message_defines.h" diff --git a/src/uvmsc/tlm1/uvm_ports.h b/src/uvmsc/tlm1/uvm_ports.h index 0fd6c927..7f657e9e 100644 --- a/src/uvmsc/tlm1/uvm_ports.h +++ b/src/uvmsc/tlm1/uvm_ports.h @@ -21,12 +21,13 @@ #define UVM_PORTS_H_ #include -#include +#include #include #include #include +#include "uvmsc/base/uvm_port_base.h" namespace uvm { //--------------------------------------------------------------------------- diff --git a/src/uvmsc/tlm1/uvm_tlm_req_rsp_channel.h b/src/uvmsc/tlm1/uvm_tlm_req_rsp_channel.h index 95465e05..f7a5e599 100644 --- a/src/uvmsc/tlm1/uvm_tlm_req_rsp_channel.h +++ b/src/uvmsc/tlm1/uvm_tlm_req_rsp_channel.h @@ -21,8 +21,8 @@ #define UVM_TLM_REQ_RSP_CHANNEL_H_ #include -#include - +#include +#include "uvmsc/base/uvm_component_name.h" namespace uvm { //---------------------------------------------------------------------- diff --git a/tests/tests/uvm-systemc/bugs/bug_184/basic_read_write_memory_management/bus_trans.h b/tests/tests/uvm-systemc/bugs/bug_184/basic_read_write_memory_management/bus_trans.h index 3f8b4634..5cfc89fa 100644 --- a/tests/tests/uvm-systemc/bugs/bug_184/basic_read_write_memory_management/bus_trans.h +++ b/tests/tests/uvm-systemc/bugs/bug_184/basic_read_write_memory_management/bus_trans.h @@ -40,12 +40,12 @@ class bus_trans: public uvm::uvm_sequence_item { uvm::uvm_sequence_item(name) { } - ~bus_trans() { + ~bus_trans() override { } UVM_OBJECT_UTILS(bus_trans); - virtual void do_copy( const uvm::uvm_object& rhs ) + void do_copy( const uvm::uvm_object& rhs ) override { const bus_trans* rhs_ = dynamic_cast(&rhs); if(rhs_ == nullptr) @@ -56,7 +56,7 @@ class bus_trans: public uvm::uvm_sequence_item { data = rhs_->data; } - virtual bool do_compare( const uvm::uvm_object& rhs, const uvm::uvm_comparer* comparer ) + bool do_compare( const uvm::uvm_object& rhs, const uvm::uvm_comparer* comparer ) const override { const bus_trans* rhs_ = dynamic_cast(&rhs); if(rhs_ == nullptr) @@ -65,7 +65,7 @@ class bus_trans: public uvm::uvm_sequence_item { return ((data == rhs_->data)); } - void do_print( const uvm::uvm_printer& printer ) const + void do_print( const uvm::uvm_printer& printer ) const override { unsigned int idx = 0; //for(const auto & i: data) { @@ -75,7 +75,7 @@ class bus_trans: public uvm::uvm_sequence_item { } - std::string convert2string() + std::string convert2string() const override { std::ostringstream str; for(const auto & i: data) { diff --git a/tests/tests/uvm-systemc/bugs/bug_184/basic_read_write_memory_management/env.h b/tests/tests/uvm-systemc/bugs/bug_184/basic_read_write_memory_management/env.h index fed0932e..26ec23e3 100644 --- a/tests/tests/uvm-systemc/bugs/bug_184/basic_read_write_memory_management/env.h +++ b/tests/tests/uvm-systemc/bugs/bug_184/basic_read_write_memory_management/env.h @@ -47,7 +47,7 @@ class env: public uvm::uvm_env env( uvm::uvm_component_name name ) : uvm::uvm_env( name ), sqr(0), drv(0) {} - void build_phase(uvm::uvm_phase& phase) + void build_phase(uvm::uvm_phase& phase) override { uvm::uvm_env::build_phase(phase); @@ -57,12 +57,12 @@ class env: public uvm::uvm_env } - void connect_phase(uvm::uvm_phase& phase) + void connect_phase(uvm::uvm_phase& phase) override { drv->seq_item_port(sqr->seq_item_export); } - void run_phase(uvm::uvm_phase& phase) + void run_phase(uvm::uvm_phase& phase) override { phase.raise_objection(this); for (unsigned int i = 0; i < num_seqs; i++) diff --git a/tests/tests/uvm-systemc/bugs/bug_184/basic_read_write_memory_management/my_driver.h b/tests/tests/uvm-systemc/bugs/bug_184/basic_read_write_memory_management/my_driver.h index 78a2bba6..bfe80000 100644 --- a/tests/tests/uvm-systemc/bugs/bug_184/basic_read_write_memory_management/my_driver.h +++ b/tests/tests/uvm-systemc/bugs/bug_184/basic_read_write_memory_management/my_driver.h @@ -34,7 +34,7 @@ class my_driver : public uvm::uvm_driver UVM_COMPONENT_PARAM_UTILS(my_driver); - void run_phase(uvm::uvm_phase& phase) + void run_phase(uvm::uvm_phase& phase) override { REQ req; RSP rsp; diff --git a/tests/tests/uvm-systemc/bugs/bug_184/basic_read_write_memory_management/sequenceA.h b/tests/tests/uvm-systemc/bugs/bug_184/basic_read_write_memory_management/sequenceA.h index 7b4db334..c6d728f9 100644 --- a/tests/tests/uvm-systemc/bugs/bug_184/basic_read_write_memory_management/sequenceA.h +++ b/tests/tests/uvm-systemc/bugs/bug_184/basic_read_write_memory_management/sequenceA.h @@ -34,11 +34,11 @@ class sequenceA : public uvm::uvm_sequence UVM_OBJECT_PARAM_UTILS(sequenceA); - void body() + void body() override { std::string prstring; REQ* req; - RSP* rsp; + RSP* rsp; UVM_INFO(this->get_name(), "Starting sequence", uvm::UVM_MEDIUM); diff --git a/tests/tests/uvm-systemc/bugs/bug_61/output_redirection/bus_trans.h b/tests/tests/uvm-systemc/bugs/bug_61/output_redirection/bus_trans.h index 86bb0dd3..58894f3b 100644 --- a/tests/tests/uvm-systemc/bugs/bug_61/output_redirection/bus_trans.h +++ b/tests/tests/uvm-systemc/bugs/bug_61/output_redirection/bus_trans.h @@ -44,11 +44,11 @@ class bus_trans : public uvm::uvm_sequence_item op = BUS_READ; } - ~bus_trans() {} + ~bus_trans() override {} UVM_OBJECT_UTILS(bus_trans); - virtual void do_copy( const uvm::uvm_object& rhs ) + void do_copy( const uvm::uvm_object& rhs ) override { const bus_trans* rhs_ = dynamic_cast(&rhs); if(rhs_ == nullptr) @@ -61,7 +61,7 @@ class bus_trans : public uvm::uvm_sequence_item op = rhs_->op; } - virtual bool do_compare( const uvm::uvm_object& rhs, const uvm::uvm_comparer* comparer ) + bool do_compare( const uvm::uvm_object& rhs, const uvm::uvm_comparer* comparer ) const override { const bus_trans* rhs_ = dynamic_cast(&rhs); if(rhs_ == nullptr) @@ -70,14 +70,14 @@ class bus_trans : public uvm::uvm_sequence_item return ((op == rhs_->op) && (addr == rhs_->addr) && (data == rhs_->data)); } - void do_print( const uvm::uvm_printer& printer ) const + void do_print( const uvm::uvm_printer& printer ) const override { printer.print_string("op", (op ? "BUS_WRITE":"BUS_READ")); printer.print_field_int("addr", addr); printer.print_field_int("data", data); } - std::string convert2string() + std::string convert2string() const override { std::ostringstream str; str << "op " << (op ? "BUS_WRITE":"BUS_READ"); @@ -101,7 +101,7 @@ class bus_req : public bus_trans { public: bus_req( const std::string& name = "bus_req_seq_item" ) : bus_trans(name) {} - ~bus_req() {} + ~bus_req() override {} UVM_OBJECT_UTILS(bus_req); @@ -118,11 +118,11 @@ class bus_rsp : public bus_trans status = STATUS_NOT_OK; } - ~bus_rsp() {} + ~bus_rsp() override {} UVM_OBJECT_UTILS(bus_rsp); - virtual void do_copy( const uvm::uvm_object& rhs ) + void do_copy( const uvm::uvm_object& rhs ) override { const bus_rsp* rhs_ = dynamic_cast(&rhs); if(rhs_ == nullptr) @@ -132,7 +132,7 @@ class bus_rsp : public bus_trans status = rhs_->status; } - std::string convert2string() + std::string convert2string() const override { std::string statusstr; diff --git a/tests/tests/uvm-systemc/bugs/bug_61/output_redirection/env.h b/tests/tests/uvm-systemc/bugs/bug_61/output_redirection/env.h index 661699a2..d1cc393b 100644 --- a/tests/tests/uvm-systemc/bugs/bug_61/output_redirection/env.h +++ b/tests/tests/uvm-systemc/bugs/bug_61/output_redirection/env.h @@ -46,7 +46,7 @@ class env: public uvm::uvm_env env( uvm::uvm_component_name name ) : uvm::uvm_env( name ), sqr(0), drv(0) {} - void build_phase(uvm::uvm_phase& phase) + void build_phase(uvm::uvm_phase& phase) override { uvm::uvm_env::build_phase(phase); @@ -62,12 +62,12 @@ class env: public uvm::uvm_env } - void connect_phase(uvm::uvm_phase& phase) + void connect_phase(uvm::uvm_phase& phase) override { drv->seq_item_port(sqr->seq_item_export); } - void run_phase(uvm::uvm_phase& phase) + void run_phase(uvm::uvm_phase& phase) override { phase.raise_objection(this); SC_FORK diff --git a/tests/tests/uvm-systemc/bugs/bug_61/output_redirection/my_driver.h b/tests/tests/uvm-systemc/bugs/bug_61/output_redirection/my_driver.h index 42c51c1b..61016425 100644 --- a/tests/tests/uvm-systemc/bugs/bug_61/output_redirection/my_driver.h +++ b/tests/tests/uvm-systemc/bugs/bug_61/output_redirection/my_driver.h @@ -34,7 +34,7 @@ class my_driver : public uvm::uvm_driver UVM_COMPONENT_PARAM_UTILS(my_driver); - void run_phase(uvm::uvm_phase& phase) + void run_phase(uvm::uvm_phase& phase) override { REQ req; RSP rsp; diff --git a/tests/tests/uvm-systemc/bugs/bug_61/output_redirection/sequenceA.h b/tests/tests/uvm-systemc/bugs/bug_61/output_redirection/sequenceA.h index 942e7de2..4ddf8f16 100644 --- a/tests/tests/uvm-systemc/bugs/bug_61/output_redirection/sequenceA.h +++ b/tests/tests/uvm-systemc/bugs/bug_61/output_redirection/sequenceA.h @@ -39,7 +39,7 @@ class sequenceA : public uvm::uvm_sequence UVM_OBJECT_PARAM_UTILS(sequenceA); - void body() + void body() override { std::string prstring; REQ* req; diff --git a/tests/tests/uvm-systemc/examples/integrated/apb/inc/apb_agent.h b/tests/tests/uvm-systemc/examples/integrated/apb/inc/apb_agent.h index 6c52db10..f83977ba 100644 --- a/tests/tests/uvm-systemc/examples/integrated/apb/inc/apb_agent.h +++ b/tests/tests/uvm-systemc/examples/integrated/apb/inc/apb_agent.h @@ -43,11 +43,11 @@ class apb_agent : public uvm::uvm_agent UVM_COMPONENT_UTILS(apb_agent); apb_agent(uvm::uvm_component_name name); - ~apb_agent(); + ~apb_agent() override; - virtual void build_phase(uvm::uvm_phase& phase); + void build_phase(uvm::uvm_phase& phase) override; - virtual void connect_phase(uvm::uvm_phase& phase); + void connect_phase(uvm::uvm_phase& phase) override; }; diff --git a/tests/tests/uvm-systemc/examples/integrated/apb/inc/apb_config.h b/tests/tests/uvm-systemc/examples/integrated/apb/inc/apb_config.h index fcd42491..d4014c01 100644 --- a/tests/tests/uvm-systemc/examples/integrated/apb/inc/apb_config.h +++ b/tests/tests/uvm-systemc/examples/integrated/apb/inc/apb_config.h @@ -26,6 +26,7 @@ #include #include +#include "integrated/apb/inc/apb_if.h" class apb_config : public uvm::uvm_object { diff --git a/tests/tests/uvm-systemc/examples/integrated/apb/inc/apb_master.h b/tests/tests/uvm-systemc/examples/integrated/apb/inc/apb_master.h index 8ff9da97..39cb6475 100644 --- a/tests/tests/uvm-systemc/examples/integrated/apb/inc/apb_master.h +++ b/tests/tests/uvm-systemc/examples/integrated/apb/inc/apb_master.h @@ -42,9 +42,9 @@ class apb_master : public uvm::uvm_driver apb_master(uvm::uvm_component_name name); - virtual void build_phase(uvm::uvm_phase & phase); + void build_phase(uvm::uvm_phase & phase) override; - virtual void run_phase(uvm::uvm_phase & phase); + void run_phase(uvm::uvm_phase & phase) override; private: void read(const sc_dt::sc_lv<32> & addr, sc_dt::sc_lv<32> & data); diff --git a/tests/tests/uvm-systemc/examples/integrated/apb/inc/apb_monitor.h b/tests/tests/uvm-systemc/examples/integrated/apb/inc/apb_monitor.h index f3c4f8fe..47c8e939 100644 --- a/tests/tests/uvm-systemc/examples/integrated/apb/inc/apb_monitor.h +++ b/tests/tests/uvm-systemc/examples/integrated/apb/inc/apb_monitor.h @@ -41,9 +41,9 @@ class apb_monitor : public uvm::uvm_monitor apb_monitor(uvm::uvm_component_name name); - virtual void build_phase(uvm::uvm_phase & phase); + void build_phase(uvm::uvm_phase & phase) override; - virtual void run_phase(uvm::uvm_phase & phase); + void run_phase(uvm::uvm_phase & phase) override; }; #endif /* APB_MONITOR_H_ */ diff --git a/tests/tests/uvm-systemc/examples/integrated/apb/inc/apb_rw.h b/tests/tests/uvm-systemc/examples/integrated/apb/inc/apb_rw.h index 5ef5fcb6..f1e8b40f 100644 --- a/tests/tests/uvm-systemc/examples/integrated/apb/inc/apb_rw.h +++ b/tests/tests/uvm-systemc/examples/integrated/apb/inc/apb_rw.h @@ -34,6 +34,12 @@ typedef enum { } apb_rw_enum; class apb_rw : public uvm::uvm_sequence_item { + using uvm_sequence_item::uvm_report; + using uvm_sequence_item::uvm_report_info; + using uvm_sequence_item::uvm_report_warning; + using uvm_sequence_item::uvm_report_error; + using uvm_sequence_item::uvm_report_fatal; + public: sc_dt::sc_lv<32> addr; sc_dt::sc_lv<32> data; @@ -44,12 +50,12 @@ class apb_rw : public uvm::uvm_sequence_item { apb_rw(const std::string & name = "apb_rw") : uvm::uvm_sequence_item(name) {} - virtual void do_print(const uvm::uvm_printer& printer) const; - virtual void do_pack(uvm::uvm_packer& p) const; - virtual void do_unpack(uvm::uvm_packer& p); - virtual void do_copy(const uvm::uvm_object& rhs); - virtual bool do_compare(const uvm_object& rhs) const; - std::string convert2string() const; + void do_print(const uvm::uvm_printer& printer) const override; + void do_pack(uvm::uvm_packer& p) const override; + void do_unpack(uvm::uvm_packer& p) override; + void do_copy(const uvm::uvm_object& rhs) override; + bool do_compare(const uvm_object& rhs, const uvm::uvm_comparer* comparer = nullptr) const override; + std::string convert2string() const override; }; #endif /* APB_RW_ */ diff --git a/tests/tests/uvm-systemc/examples/integrated/apb/inc/reg2apb_adapter.h b/tests/tests/uvm-systemc/examples/integrated/apb/inc/reg2apb_adapter.h index 02abbed7..2923be0d 100644 --- a/tests/tests/uvm-systemc/examples/integrated/apb/inc/reg2apb_adapter.h +++ b/tests/tests/uvm-systemc/examples/integrated/apb/inc/reg2apb_adapter.h @@ -36,9 +36,9 @@ class reg2apb_adapter : public uvm::uvm_reg_adapter reg2apb_adapter(const std::string & name = "reg2apb_adapter") : uvm::uvm_reg_adapter(name) {} - virtual uvm::uvm_sequence_item* reg2bus(const uvm::uvm_reg_bus_op &); + uvm::uvm_sequence_item* reg2bus(const uvm::uvm_reg_bus_op &) override; - virtual void bus2reg(const uvm::uvm_sequence_item *, uvm::uvm_reg_bus_op &); + void bus2reg(const uvm::uvm_sequence_item *, uvm::uvm_reg_bus_op &) override; }; #endif /* REG2APB_ADAPTER_H_ */ diff --git a/tests/tests/uvm-systemc/examples/integrated/apb/src/apb_rw.cpp b/tests/tests/uvm-systemc/examples/integrated/apb/src/apb_rw.cpp index 3bb28ef4..d4d23a3a 100644 --- a/tests/tests/uvm-systemc/examples/integrated/apb/src/apb_rw.cpp +++ b/tests/tests/uvm-systemc/examples/integrated/apb/src/apb_rw.cpp @@ -58,7 +58,7 @@ void apb_rw::do_copy(const uvm::uvm_object& rhs) kind_e = drhs->kind_e; } -bool apb_rw::do_compare(const uvm_object& rhs) const +bool apb_rw::do_compare(const uvm_object& rhs, const uvm::uvm_comparer* comparer) const { const apb_rw* drhs = dynamic_cast(&rhs); if (!drhs) { diff --git a/tests/tests/uvm-systemc/examples/integrated/ubus/dut_dummy.h b/tests/tests/uvm-systemc/examples/integrated/ubus/dut_dummy.h index 4b344f93..c31dd47b 100644 --- a/tests/tests/uvm-systemc/examples/integrated/ubus/dut_dummy.h +++ b/tests/tests/uvm-systemc/examples/integrated/ubus/dut_dummy.h @@ -47,7 +47,10 @@ class dut_dummy : public sc_core::sc_module // Basic arbiter, supports two masters, 0 has priority over 1 +#if IEEE_1666_SYSTEMC >= 202301L +#else SC_HAS_PROCESS(dut_dummy); +#endif dut_dummy(sc_core::sc_module_name name) : sc_module(name), diff --git a/tests/tests/uvm-systemc/examples/integrated/ubus/sc_main.cpp b/tests/tests/uvm-systemc/examples/integrated/ubus/sc_main.cpp index 8a00db51..2c838873 100644 --- a/tests/tests/uvm-systemc/examples/integrated/ubus/sc_main.cpp +++ b/tests/tests/uvm-systemc/examples/integrated/ubus/sc_main.cpp @@ -37,7 +37,10 @@ class stim : public sc_core::sc_module sc_core::sc_out clock; sc_core::sc_out reset; +#if IEEE_1666_SYSTEMC >= 202301L +#else SC_HAS_PROCESS(stim); +#endif stim(sc_core::sc_module_name nm) : sc_module(nm), clock("clock"), reset("reset") diff --git a/tests/tests/uvm-systemc/examples/integrated/ubus/test_lib.h b/tests/tests/uvm-systemc/examples/integrated/ubus/test_lib.h index e8b05b14..d06f2da1 100644 --- a/tests/tests/uvm-systemc/examples/integrated/ubus/test_lib.h +++ b/tests/tests/uvm-systemc/examples/integrated/ubus/test_lib.h @@ -48,7 +48,7 @@ class ubus_example_base_test : public uvm::uvm_test test_pass = true; } - virtual void build_phase(uvm::uvm_phase& phase) + void build_phase(uvm::uvm_phase& phase) override { uvm::uvm_test::build_phase(phase); @@ -64,7 +64,7 @@ class ubus_example_base_test : public uvm::uvm_test printer->knobs.depth = 3; } - void end_of_elaboration_phase(uvm::uvm_phase& phase) + void end_of_elaboration_phase(uvm::uvm_phase& phase) override { // Set verbosity for the bus monitor for this demo if(ubus_example_tb0->ubus0->bus_monitor != nullptr) @@ -74,20 +74,20 @@ class ubus_example_base_test : public uvm::uvm_test this->sprint(printer), uvm::UVM_LOW); } - void run_phase(uvm::uvm_phase& phase) + void run_phase(uvm::uvm_phase& phase) override { //set a drain-time for the environment if desired sc_core::sc_time drain_time = sc_core::sc_time(50.0, sc_core::SC_NS); phase.get_objection()->set_drain_time(this, drain_time); } - void extract_phase(uvm::uvm_phase& phase) + void extract_phase(uvm::uvm_phase& phase) override { if(ubus_example_tb0->scoreboard0->sbd_error) test_pass = false; } - void report_phase(uvm::uvm_phase& phase) + void report_phase(uvm::uvm_phase& phase) override { if(test_pass) { @@ -99,7 +99,7 @@ class ubus_example_base_test : public uvm::uvm_test } } - void final_phase(uvm::uvm_phase& phase) + void final_phase(uvm::uvm_phase& phase) override { delete printer; } @@ -118,7 +118,7 @@ class test_read_modify_write : public ubus_example_base_test : ubus_example_base_test(name) {} - virtual void build_phase(uvm::uvm_phase phase) + void build_phase(uvm::uvm_phase& phase) override { uvm::uvm_config_db::set(this, "ubus_example_tb0.ubus0.masters[0].sequencer.run_phase", @@ -144,7 +144,7 @@ class test_r8_w8_r4_w4 : public ubus_example_base_test : ubus_example_base_test(name) {} - virtual void build_phase(uvm::uvm_phase& phase) + void build_phase(uvm::uvm_phase& phase) override { ubus_example_base_test::build_phase(phase); @@ -170,7 +170,7 @@ class test_2m_4s : public ubus_example_base_test : ubus_example_base_test(name) {} - virtual void build_phase(uvm::uvm_phase& phase) + void build_phase(uvm::uvm_phase& phase) override { // Overides to the ubus_example_tb build_phase() // Set the topology to 2 masters, 4 slaves @@ -212,7 +212,7 @@ class test_2m_4s : public ubus_example_base_test ubus_example_base_test::build_phase(phase); } - void connect_phase(uvm::uvm_phase& phase) + void connect_phase(uvm::uvm_phase& phase) override { // Connect other slaves monitor to scoreboard ubus_example_tb0->ubus0->slaves[1]->monitor->item_collected_port.connect( @@ -225,7 +225,7 @@ class test_2m_4s : public ubus_example_base_test ubus_example_tb0->scoreboard0->item_collected_export); } - void end_of_elaboration_phase(uvm::uvm_phase& phase) + void end_of_elaboration_phase(uvm::uvm_phase& phase) override { // Set up slave address map for ubus0 (slaves[0] is overwritten here) ubus_example_tb0->ubus0->set_slave_address_map("slaves[0]", 0x0000, 0x3fff); @@ -236,7 +236,7 @@ class test_2m_4s : public ubus_example_base_test ubus_example_base_test::end_of_elaboration_phase(phase); } - virtual void final_phase(uvm::uvm_phase& phase) + void final_phase(uvm::uvm_phase& phase) override { loop_read_modify_write_seq::type_id::destroy(lrmw_seq); // clean-up } diff --git a/tests/tests/uvm-systemc/examples/integrated/ubus/ubus_example_master_seq_lib.h b/tests/tests/uvm-systemc/examples/integrated/ubus/ubus_example_master_seq_lib.h index e2f39704..404546f6 100644 --- a/tests/tests/uvm-systemc/examples/integrated/ubus/ubus_example_master_seq_lib.h +++ b/tests/tests/uvm-systemc/examples/integrated/ubus/ubus_example_master_seq_lib.h @@ -59,7 +59,7 @@ class incr_read_byte_seq : public ubus_base_sequence constraint transmit_del_ct { (incr_transmit_del <= 10); } */ - virtual void body() + void body() override { std::ostringstream str; str << get_sequence_path() @@ -109,7 +109,7 @@ class incr_write_byte_seq : public ubus_base_sequence constraint transmit_del_ct { (incr_transmit_del <= 10); } */ - virtual void body() + void body() override { std::ostringstream str; str << get_sequence_path() @@ -149,7 +149,7 @@ class incr_read_write_read_seq : public ubus_base_sequence incr_read_byte_seq* read0; incr_write_byte_seq* write0; - virtual void body() + void body() override { std::ostringstream str; str << get_sequence_path() @@ -186,7 +186,7 @@ class r8_w8_r4_w4_seq : public ubus_base_sequence //constraint start_address_ct { (start_address == 16'h4000); } - virtual void body() + void body() override { std::ostringstream str; str << get_sequence_path() @@ -239,7 +239,7 @@ class read_modify_write_seq : public ubus_base_sequence /* rand */ sc_dt::sc_uint<16> addr_check; sc_dt::sc_uint<8> m_data0_check; - virtual void body() + void body() override { std::ostringstream str; str << get_sequence_path() @@ -320,7 +320,7 @@ class loop_read_modify_write_seq : public ubus_base_sequence read_modify_write_seq* rmw_seq; - virtual void body() + void body() override { uvm::uvm_config_db::get(nullptr, get_full_name(), "itr", itr); diff --git a/tests/tests/uvm-systemc/examples/integrated/ubus/ubus_example_scoreboard.h b/tests/tests/uvm-systemc/examples/integrated/ubus/ubus_example_scoreboard.h index d71d366d..e0cc0f1b 100644 --- a/tests/tests/uvm-systemc/examples/integrated/ubus/ubus_example_scoreboard.h +++ b/tests/tests/uvm-systemc/examples/integrated/ubus/ubus_example_scoreboard.h @@ -50,7 +50,7 @@ class ubus_example_scoreboard : public uvm::uvm_scoreboard virtual void write(const ubus_transfer& trans); // report_phase - virtual void report_phase(uvm::uvm_phase& phase); + void report_phase(uvm::uvm_phase& phase) override; int sbd_error; diff --git a/tests/tests/uvm-systemc/examples/integrated/ubus/ubus_example_tb.h b/tests/tests/uvm-systemc/examples/integrated/ubus/ubus_example_tb.h index 2e88b76e..c55be315 100644 --- a/tests/tests/uvm-systemc/examples/integrated/ubus/ubus_example_tb.h +++ b/tests/tests/uvm-systemc/examples/integrated/ubus/ubus_example_tb.h @@ -60,7 +60,7 @@ class ubus_example_tb : public uvm::uvm_env {} // build_phase - virtual void build_phase(uvm::uvm_phase& phase) + void build_phase(uvm::uvm_phase& phase) override { uvm::uvm_env::build_phase(phase); @@ -74,14 +74,14 @@ class ubus_example_tb : public uvm::uvm_env assert(scoreboard0); } - void connect_phase(uvm::uvm_phase& phase) + void connect_phase(uvm::uvm_phase& phase) override { // Connect slave0 monitor to scoreboard ubus0->slaves[0]->monitor->item_collected_port.connect( scoreboard0->item_collected_export); } - void end_of_elaboration_phase(uvm::uvm_phase& phase) + void end_of_elaboration_phase(uvm::uvm_phase& phase) override { // Set up slave address map for ubus0 (basic default) ubus0->set_slave_address_map("slaves[0]", 0, 0xffff); diff --git a/tests/tests/uvm-systemc/examples/integrated/ubus/vip/slave_address_map_info.h b/tests/tests/uvm-systemc/examples/integrated/ubus/vip/slave_address_map_info.h index f6385f03..7dcf96ae 100644 --- a/tests/tests/uvm-systemc/examples/integrated/ubus/vip/slave_address_map_info.h +++ b/tests/tests/uvm-systemc/examples/integrated/ubus/vip/slave_address_map_info.h @@ -65,13 +65,13 @@ class slave_address_map_info : public uvm::uvm_object } // added mandatory field functions - virtual void do_print(uvm::uvm_printer& printer) + void do_print(const uvm::uvm_printer& printer) const override { printer.print_field_int("min_addr", min_addr); printer.print_field_int("max_addr", max_addr); } - virtual void do_copy( const uvm::uvm_object& rhs ) + void do_copy( const uvm::uvm_object& rhs ) override { const slave_address_map_info* rhs_ = dynamic_cast(&rhs); @@ -83,8 +83,8 @@ class slave_address_map_info : public uvm::uvm_object max_addr = rhs_->max_addr; } - virtual bool do_compare(const uvm::uvm_object& rhs, - const uvm::uvm_comparer* comparer ) const + bool do_compare(const uvm::uvm_object& rhs, + const uvm::uvm_comparer* comparer ) const override { const slave_address_map_info* rhs_ = dynamic_cast(&rhs); @@ -96,7 +96,7 @@ class slave_address_map_info : public uvm::uvm_object && (max_addr == rhs_->max_addr)); } - std::string convert2string() + std::string convert2string() const override { std::ostringstream str; str << "min_addr=" << min_addr diff --git a/tests/tests/uvm-systemc/examples/integrated/ubus/vip/ubus_bus_monitor.h b/tests/tests/uvm-systemc/examples/integrated/ubus/vip/ubus_bus_monitor.h index 94d6e7c7..4faba201 100644 --- a/tests/tests/uvm-systemc/examples/integrated/ubus/vip/ubus_bus_monitor.h +++ b/tests/tests/uvm-systemc/examples/integrated/ubus/vip/ubus_bus_monitor.h @@ -85,8 +85,8 @@ class ubus_bus_monitor : public uvm::uvm_monitor ubus_bus_monitor(uvm::uvm_component_name name); void set_slave_configs(std::string slave_name, unsigned int min_addr, unsigned int max_addr); - void build_phase(uvm::uvm_phase& phase); - void run_phase(uvm::uvm_phase& phase); + void build_phase(uvm::uvm_phase& phase) override; + void run_phase(uvm::uvm_phase& phase) override; void observe_reset(); void check_reset_on_posedge(); void check_reset_on_negedge(); diff --git a/tests/tests/uvm-systemc/examples/integrated/ubus/vip/ubus_env.h b/tests/tests/uvm-systemc/examples/integrated/ubus/vip/ubus_env.h index c00f9141..1d3c595a 100644 --- a/tests/tests/uvm-systemc/examples/integrated/ubus/vip/ubus_env.h +++ b/tests/tests/uvm-systemc/examples/integrated/ubus/vip/ubus_env.h @@ -21,7 +21,7 @@ //---------------------------------------------------------------------- #ifndef UBUS_ENV_H_ -#define UBUS_END_H_ +#define UBUS_ENV_H_ #include #include @@ -57,10 +57,10 @@ class ubus_env : public uvm::uvm_env ubus_env(uvm::uvm_component_name name); - void build_phase(uvm::uvm_phase& phase); + void build_phase(uvm::uvm_phase& phase) override; void set_slave_address_map( std::string slave_name, int min_addr, int max_addr); - void run_phase(uvm::uvm_phase& phase); + void run_phase(uvm::uvm_phase& phase) override; protected: diff --git a/tests/tests/uvm-systemc/examples/integrated/ubus/vip/ubus_if.h b/tests/tests/uvm-systemc/examples/integrated/ubus/vip/ubus_if.h index 8a1f701e..b61d6947 100644 --- a/tests/tests/uvm-systemc/examples/integrated/ubus/vip/ubus_if.h +++ b/tests/tests/uvm-systemc/examples/integrated/ubus/vip/ubus_if.h @@ -36,7 +36,10 @@ class ubus_if : sc_core::sc_module { public: +#if IEEE_1666_SYSTEMC >= 202301L +#else SC_HAS_PROCESS(ubus_if); +#endif ubus_if(sc_core::sc_module_name name) : sc_core::sc_module(name), diff --git a/tests/tests/uvm-systemc/examples/integrated/ubus/vip/ubus_master_agent.h b/tests/tests/uvm-systemc/examples/integrated/ubus/vip/ubus_master_agent.h index 792a30a1..667c1e6f 100644 --- a/tests/tests/uvm-systemc/examples/integrated/ubus/vip/ubus_master_agent.h +++ b/tests/tests/uvm-systemc/examples/integrated/ubus/vip/ubus_master_agent.h @@ -46,9 +46,9 @@ class ubus_master_agent : public uvm::uvm_agent ubus_master_agent(uvm::uvm_component_name name); - void build_phase(uvm::uvm_phase& phase); + void build_phase(uvm::uvm_phase& phase) override; - void connect_phase(uvm::uvm_phase& phase); + void connect_phase(uvm::uvm_phase& phase) override; protected: int master_id; diff --git a/tests/tests/uvm-systemc/examples/integrated/ubus/vip/ubus_master_driver.h b/tests/tests/uvm-systemc/examples/integrated/ubus/vip/ubus_master_driver.h index 8b48fca6..b2ea0067 100644 --- a/tests/tests/uvm-systemc/examples/integrated/ubus/vip/ubus_master_driver.h +++ b/tests/tests/uvm-systemc/examples/integrated/ubus/vip/ubus_master_driver.h @@ -43,8 +43,8 @@ class ubus_master_driver : public uvm::uvm_driver ubus_master_driver(uvm::uvm_component_name name); - void build_phase(uvm::uvm_phase& phase); - virtual void run_phase(uvm::uvm_phase& phase); + void build_phase(uvm::uvm_phase& phase) override; + void run_phase(uvm::uvm_phase& phase) override; protected: diff --git a/tests/tests/uvm-systemc/examples/integrated/ubus/vip/ubus_master_monitor.h b/tests/tests/uvm-systemc/examples/integrated/ubus/vip/ubus_master_monitor.h index 0c34667f..72f07e81 100644 --- a/tests/tests/uvm-systemc/examples/integrated/ubus/vip/ubus_master_monitor.h +++ b/tests/tests/uvm-systemc/examples/integrated/ubus/vip/ubus_master_monitor.h @@ -80,8 +80,8 @@ class ubus_master_monitor : public uvm::uvm_monitor // constructor ubus_master_monitor( uvm::uvm_component_name name); - void build_phase(uvm::uvm_phase& phase); - void run_phase(uvm::uvm_phase& phase); + void build_phase(uvm::uvm_phase& phase) override; + void run_phase(uvm::uvm_phase& phase) override; protected: @@ -93,7 +93,7 @@ class ubus_master_monitor : public uvm::uvm_monitor virtual void check_transfer_size(); virtual void check_transfer_data_size(); virtual void perform_transfer_coverage(); - virtual void report_phase(uvm::uvm_phase& phase); + void report_phase(uvm::uvm_phase& phase) override; // data members private: diff --git a/tests/tests/uvm-systemc/examples/integrated/ubus/vip/ubus_master_seq_lib.h b/tests/tests/uvm-systemc/examples/integrated/ubus/vip/ubus_master_seq_lib.h index 6c2e2a53..a59609f1 100644 --- a/tests/tests/uvm-systemc/examples/integrated/ubus/vip/ubus_master_seq_lib.h +++ b/tests/tests/uvm-systemc/examples/integrated/ubus/vip/ubus_master_seq_lib.h @@ -25,9 +25,8 @@ #include #include +#include "ubus_transfer.h" -// forward class declaration -class ubus_transfer; //------------------------------------------------------------------------------ // @@ -40,6 +39,12 @@ class ubus_transfer; class ubus_base_sequence : public uvm::uvm_sequence { + using uvm_sequence::uvm_report; + using uvm_sequence::uvm_report_info; + using uvm_sequence::uvm_report_warning; + using uvm_sequence::uvm_report_error; + using uvm_sequence::uvm_report_fatal; + public: ubus_base_sequence( const std::string& name = "ubus_base_seq") @@ -111,7 +116,7 @@ class read_byte_seq : public ubus_base_sequence UVM_OBJECT_UTILS(read_byte_seq); - virtual void body() + void body() override { /* TODO constraints using macro UVM_DO_WITH(req, @@ -145,7 +150,7 @@ class read_byte_seq : public ubus_base_sequence } - ~read_byte_seq() + ~read_byte_seq() override { ubus_transfer::type_id::destroy(req); ubus_transfer::type_id::destroy(rsp); @@ -182,7 +187,7 @@ class read_half_word_seq : public ubus_base_sequence UVM_OBJECT_UTILS(read_half_word_seq); - virtual void body() + void body() override { /* TODO constraints using macro UVM_DO_WITH(req, @@ -216,7 +221,7 @@ class read_half_word_seq : public ubus_base_sequence UVM_INFO(get_type_name(), msg.str(), uvm::UVM_HIGH); } - ~read_half_word_seq() + ~read_half_word_seq() override { ubus_transfer::type_id::destroy(req); ubus_transfer::type_id::destroy(rsp); @@ -254,7 +259,7 @@ class read_word_seq : public ubus_base_sequence UVM_OBJECT_UTILS(read_word_seq); - virtual void body() + void body() override { /* TODO constraints using macro UVM_DO_WITH(req, @@ -292,7 +297,7 @@ class read_word_seq : public ubus_base_sequence UVM_INFO(get_type_name(), msg.str(), uvm::UVM_HIGH); } - ~read_word_seq() + ~read_word_seq() override { ubus_transfer::type_id::destroy(req); ubus_transfer::type_id::destroy(rsp); @@ -329,7 +334,7 @@ class read_double_word_seq : public ubus_base_sequence UVM_OBJECT_UTILS(read_double_word_seq); - virtual void body() + void body() override { /* TODO constraints using macro UVM_DO_WITH(req, @@ -375,7 +380,7 @@ class read_double_word_seq : public ubus_base_sequence UVM_INFO(get_type_name(), msg.str(), uvm::UVM_HIGH); } - ~read_double_word_seq() + ~read_double_word_seq() override { ubus_transfer::type_id::destroy(req); ubus_transfer::type_id::destroy(rsp); @@ -413,7 +418,7 @@ class write_byte_seq : public ubus_base_sequence UVM_OBJECT_UTILS(write_byte_seq); - virtual void body() + void body() override { /* uvm_do_with(req, @@ -446,7 +451,7 @@ class write_byte_seq : public ubus_base_sequence UVM_INFO(get_type_name(), msg.str(), uvm::UVM_HIGH); } - ~write_byte_seq() + ~write_byte_seq() override { ubus_transfer::type_id::destroy(req); ubus_transfer::type_id::destroy(rsp); @@ -483,7 +488,7 @@ class write_half_word_seq : public ubus_base_sequence UVM_OBJECT_UTILS(write_half_word_seq); - virtual void body() + void body() override { /* uvm_do_with(req, @@ -518,7 +523,7 @@ class write_half_word_seq : public ubus_base_sequence UVM_INFO(get_type_name(), msg.str(), uvm::UVM_HIGH); } - ~write_half_word_seq() + ~write_half_word_seq() override { ubus_transfer::type_id::destroy(req); } @@ -559,7 +564,7 @@ class write_word_seq : public ubus_base_sequence UVM_OBJECT_UTILS(write_word_seq); - virtual void body() + void body() override { /* UVM_DO_WITH(req, @@ -601,7 +606,7 @@ class write_word_seq : public ubus_base_sequence UVM_INFO(get_type_name(), msg.str(), uvm::UVM_HIGH); } - ~write_word_seq() + ~write_word_seq() override { ubus_transfer::type_id::destroy(req); } @@ -645,7 +650,7 @@ class write_double_word_seq : public ubus_base_sequence UVM_OBJECT_UTILS(write_double_word_seq); - virtual void body() + void body() override { /* uvm_do_with(req, @@ -701,7 +706,7 @@ class write_double_word_seq : public ubus_base_sequence UVM_INFO(get_type_name(), msg.str(), uvm::UVM_HIGH); } - ~write_double_word_seq() + ~write_double_word_seq() override { ubus_transfer::type_id::destroy(req); } diff --git a/tests/tests/uvm-systemc/examples/integrated/ubus/vip/ubus_master_sequencer.h b/tests/tests/uvm-systemc/examples/integrated/ubus/vip/ubus_master_sequencer.h index 3bf5fd83..473c6c33 100644 --- a/tests/tests/uvm-systemc/examples/integrated/ubus/vip/ubus_master_sequencer.h +++ b/tests/tests/uvm-systemc/examples/integrated/ubus/vip/ubus_master_sequencer.h @@ -25,6 +25,7 @@ #include #include +#include "ubus_transfer.h" //------------------------------------------------------------------------------ // Class: ubus_master_sequencer diff --git a/tests/tests/uvm-systemc/examples/integrated/ubus/vip/ubus_slave_agent.h b/tests/tests/uvm-systemc/examples/integrated/ubus/vip/ubus_slave_agent.h index 5fd6bc60..b118bd60 100644 --- a/tests/tests/uvm-systemc/examples/integrated/ubus/vip/ubus_slave_agent.h +++ b/tests/tests/uvm-systemc/examples/integrated/ubus/vip/ubus_slave_agent.h @@ -47,9 +47,9 @@ class ubus_slave_agent : public uvm::uvm_agent ubus_slave_agent(uvm::uvm_component_name name); - virtual void build_phase(uvm::uvm_phase& phase); + void build_phase(uvm::uvm_phase& phase) override; - void connect_phase(uvm::uvm_phase& phase); + void connect_phase(uvm::uvm_phase& phase) override; }; // class ubus_slave_agent diff --git a/tests/tests/uvm-systemc/examples/integrated/ubus/vip/ubus_slave_driver.h b/tests/tests/uvm-systemc/examples/integrated/ubus/vip/ubus_slave_driver.h index 229713d9..77284399 100644 --- a/tests/tests/uvm-systemc/examples/integrated/ubus/vip/ubus_slave_driver.h +++ b/tests/tests/uvm-systemc/examples/integrated/ubus/vip/ubus_slave_driver.h @@ -42,8 +42,8 @@ class ubus_slave_driver : public uvm::uvm_driver ubus_slave_driver(uvm::uvm_component_name name); - void build_phase(uvm::uvm_phase& phase); - virtual void run_phase(uvm::uvm_phase& phase); + void build_phase(uvm::uvm_phase& phase) override; + void run_phase(uvm::uvm_phase& phase) override; protected: diff --git a/tests/tests/uvm-systemc/examples/integrated/ubus/vip/ubus_slave_monitor.h b/tests/tests/uvm-systemc/examples/integrated/ubus/vip/ubus_slave_monitor.h index ab53be6c..bac52215 100644 --- a/tests/tests/uvm-systemc/examples/integrated/ubus/vip/ubus_slave_monitor.h +++ b/tests/tests/uvm-systemc/examples/integrated/ubus/vip/ubus_slave_monitor.h @@ -77,14 +77,14 @@ class ubus_slave_monitor : public uvm::uvm_monitor // Provide implementations of virtual methods such as get_type_name and create UVM_COMPONENT_UTILS(ubus_slave_monitor); - void build_phase(uvm::uvm_phase& phase); + void build_phase(uvm::uvm_phase& phase) override; void set_addr_range( unsigned int min_addr_, unsigned int max_addr_); unsigned int get_min_addr(); unsigned int get_max_addr(); - virtual void run_phase(uvm::uvm_phase& phase); - virtual void report_phase(uvm::uvm_phase& phase); + void run_phase(uvm::uvm_phase& phase) override; + void report_phase(uvm::uvm_phase& phase) override; //void peek(ubus_transfer& trans); ubus_transfer peek(); diff --git a/tests/tests/uvm-systemc/examples/integrated/ubus/vip/ubus_slave_seq_lib.h b/tests/tests/uvm-systemc/examples/integrated/ubus/vip/ubus_slave_seq_lib.h index 94606af9..d4ef5b67 100644 --- a/tests/tests/uvm-systemc/examples/integrated/ubus/vip/ubus_slave_seq_lib.h +++ b/tests/tests/uvm-systemc/examples/integrated/ubus/vip/ubus_slave_seq_lib.h @@ -54,7 +54,7 @@ class simple_response_seq : public uvm::uvm_sequence UVM_OBJECT_UTILS(simple_response_seq); - virtual void body() + void body() override { p_sequencer = dynamic_cast(m_sequencer); @@ -85,7 +85,7 @@ class simple_response_seq : public uvm::uvm_sequence } } - ~simple_response_seq() + ~simple_response_seq() override { ubus_transfer::type_id::destroy(req); } @@ -115,7 +115,7 @@ class slave_memory_seq : public uvm::uvm_sequence UVM_DECLARE_P_SEQUENCER(ubus_slave_sequencer); - virtual void pre_do(bool is_item) + void pre_do(bool is_item) override { // Update the properties that are relevant to both read and write req->size = util_transfer.size; @@ -144,7 +144,7 @@ class slave_memory_seq : public uvm::uvm_sequence } } - void post_do(uvm_sequence_item* item) + void post_do(uvm_sequence_item* item) override { ubus_transfer* trans = dynamic_cast(item); @@ -159,7 +159,7 @@ class slave_memory_seq : public uvm::uvm_sequence } } - virtual void body() + void body() override { UVM_INFO(get_type_name(), get_sequence_path() + " starting...", uvm::UVM_MEDIUM); @@ -184,7 +184,7 @@ class slave_memory_seq : public uvm::uvm_sequence } } - ~slave_memory_seq() + ~slave_memory_seq() override { ubus_transfer::type_id::destroy(req); // delete sequence from memory } diff --git a/tests/tests/uvm-systemc/examples/integrated/ubus/vip/ubus_slave_sequencer.h b/tests/tests/uvm-systemc/examples/integrated/ubus/vip/ubus_slave_sequencer.h index c077059d..98129e24 100644 --- a/tests/tests/uvm-systemc/examples/integrated/ubus/vip/ubus_slave_sequencer.h +++ b/tests/tests/uvm-systemc/examples/integrated/ubus/vip/ubus_slave_sequencer.h @@ -25,9 +25,7 @@ #include #include - -// forward class declaration -class ubus_transfer; +#include "ubus_transfer.h" //------------------------------------------------------------------------------ // Class: ubus_slave_sequencer diff --git a/tests/tests/uvm-systemc/examples/integrated/ubus/vip/ubus_transfer.cpp b/tests/tests/uvm-systemc/examples/integrated/ubus/vip/ubus_transfer.cpp index c9d46cb9..538d21c5 100644 --- a/tests/tests/uvm-systemc/examples/integrated/ubus/vip/ubus_transfer.cpp +++ b/tests/tests/uvm-systemc/examples/integrated/ubus/vip/ubus_transfer.cpp @@ -130,7 +130,7 @@ void ubus_transfer::do_copy(const uvm::uvm_object& rhs) slave = drhs->slave; } -bool ubus_transfer::do_compare(const uvm_object& rhs) const +bool ubus_transfer::do_compare(const uvm::uvm_object& rhs, const uvm::uvm_comparer* comparer) const { const ubus_transfer* drhs = dynamic_cast(&rhs); diff --git a/tests/tests/uvm-systemc/examples/integrated/ubus/vip/ubus_transfer.h b/tests/tests/uvm-systemc/examples/integrated/ubus/vip/ubus_transfer.h index 52553f47..0cc29807 100644 --- a/tests/tests/uvm-systemc/examples/integrated/ubus/vip/ubus_transfer.h +++ b/tests/tests/uvm-systemc/examples/integrated/ubus/vip/ubus_transfer.h @@ -46,6 +46,12 @@ extern const char *ubus_read_write_name[]; class ubus_transfer : public uvm::uvm_sequence_item { + using uvm_sequence_item::uvm_report; + using uvm_sequence_item::uvm_report_info; + using uvm_sequence_item::uvm_report_warning; + using uvm_sequence_item::uvm_report_error; + using uvm_sequence_item::uvm_report_fatal; + public: // TODO Randomization /* rand */ sc_dt::sc_uint<16> addr; @@ -90,12 +96,12 @@ class ubus_transfer : public uvm::uvm_sequence_item */ ubus_transfer(const std::string& name = "ubus_transfer_inst"); - virtual void do_print(const uvm::uvm_printer& printer) const; - virtual void do_pack(uvm::uvm_packer& p) const; - virtual void do_unpack(uvm::uvm_packer& p); - virtual void do_copy(const uvm::uvm_object& rhs); - virtual bool do_compare(const uvm_object& rhs) const; - std::string convert2string() const; + void do_print(const uvm::uvm_printer& printer) const override; + void do_pack(uvm::uvm_packer& p) const override; + void do_unpack(uvm::uvm_packer& p) override; + void do_copy(const uvm::uvm_object& rhs) override; + bool do_compare(const uvm::uvm_object& rhs, const uvm::uvm_comparer* comparer = nullptr ) const override; + std::string convert2string() const override; }; diff --git a/tests/tests/uvm-systemc/examples/simple/callbacks/basic/bus_driver.h b/tests/tests/uvm-systemc/examples/simple/callbacks/basic/bus_driver.h index 8121db9f..8a1407d1 100644 --- a/tests/tests/uvm-systemc/examples/simple/callbacks/basic/bus_driver.h +++ b/tests/tests/uvm-systemc/examples/simple/callbacks/basic/bus_driver.h @@ -55,7 +55,7 @@ class bus_driver : public uvm::uvm_component in("in", this) {} - virtual const std::string get_type_name() const + const std::string get_type_name() const override { return "bus_driver"; } diff --git a/tests/tests/uvm-systemc/examples/simple/callbacks/basic/bus_driver_cb.h b/tests/tests/uvm-systemc/examples/simple/callbacks/basic/bus_driver_cb.h index 0d440afd..01e09794 100644 --- a/tests/tests/uvm-systemc/examples/simple/callbacks/basic/bus_driver_cb.h +++ b/tests/tests/uvm-systemc/examples/simple/callbacks/basic/bus_driver_cb.h @@ -25,7 +25,6 @@ #include #include "bus_tr.h" -#include "bus_driver.h" // forward class declarations class bus_driver; @@ -56,7 +55,7 @@ class bus_driver_cb : public uvm::uvm_callback bus_driver_cb( std::string name="bus_driver_cb_inst") : uvm::uvm_callback(name) {} - virtual const std::string get_type_name() const + const std::string get_type_name() const override { return "bus_driver_cb"; } diff --git a/tests/tests/uvm-systemc/examples/simple/callbacks/basic/bus_tr.h b/tests/tests/uvm-systemc/examples/simple/callbacks/basic/bus_tr.h index de136dc6..eee3c556 100644 --- a/tests/tests/uvm-systemc/examples/simple/callbacks/basic/bus_tr.h +++ b/tests/tests/uvm-systemc/examples/simple/callbacks/basic/bus_tr.h @@ -43,7 +43,7 @@ class bus_tr : public uvm::uvm_transaction UVM_OBJECT_UTILS(bus_tr); - virtual std::string convert2string() const + std::string convert2string() const override { std::ostringstream str; str << "addr=" << addr << " data=" << data; diff --git a/tests/tests/uvm-systemc/examples/simple/callbacks/basic/my_bus_driver_cb.h b/tests/tests/uvm-systemc/examples/simple/callbacks/basic/my_bus_driver_cb.h index c756691f..024c55c0 100644 --- a/tests/tests/uvm-systemc/examples/simple/callbacks/basic/my_bus_driver_cb.h +++ b/tests/tests/uvm-systemc/examples/simple/callbacks/basic/my_bus_driver_cb.h @@ -43,7 +43,7 @@ class my_bus_driver_cb : public bus_driver_cb my_bus_driver_cb( std::string name = "bus_driver_cb_inst" ) : bus_driver_cb(name) {} - virtual bool trans_received( bus_driver* driver, const bus_tr& tr) + bool trans_received( bus_driver* driver, const bus_tr& tr) override { static bool drop = 0; driver->uvm_report_info("trans_received_cb", @@ -52,13 +52,13 @@ class my_bus_driver_cb : public bus_driver_cb return drop; } - virtual void trans_executed( bus_driver* driver, const bus_tr& tr) + void trans_executed( bus_driver* driver, const bus_tr& tr) override { driver->uvm_report_info("trans_executed_cb", " bus_driver=" + driver->get_full_name() + " tr=" + tr.convert2string() ); } - virtual const std::string get_type_name() const + const std::string get_type_name() const override { return "my_bus_driver_cb"; } diff --git a/tests/tests/uvm-systemc/examples/simple/callbacks/basic/my_bus_driver_cb2.h b/tests/tests/uvm-systemc/examples/simple/callbacks/basic/my_bus_driver_cb2.h index a18e7bf6..16137e9b 100644 --- a/tests/tests/uvm-systemc/examples/simple/callbacks/basic/my_bus_driver_cb2.h +++ b/tests/tests/uvm-systemc/examples/simple/callbacks/basic/my_bus_driver_cb2.h @@ -41,13 +41,13 @@ class my_bus_driver_cb2 : public bus_driver_cb my_bus_driver_cb2( std::string name = "bus_driver_cb_inst" ) : bus_driver_cb(name) {} - virtual void trans_executed( bus_driver* driver, const bus_tr& tr ) + void trans_executed( bus_driver* driver, const bus_tr& tr ) override { driver->uvm_report_info("trans_executed_cb2", " bus_driver=" + driver->get_full_name() + " tr=" + tr.convert2string() ); } - virtual const std::string get_type_name() const + const std::string get_type_name() const override { return "my_bus_driver_cb2"; } diff --git a/tests/tests/uvm-systemc/examples/simple/callbacks/basic/top.h b/tests/tests/uvm-systemc/examples/simple/callbacks/basic/top.h index 2dd8b656..d3c5b951 100644 --- a/tests/tests/uvm-systemc/examples/simple/callbacks/basic/top.h +++ b/tests/tests/uvm-systemc/examples/simple/callbacks/basic/top.h @@ -60,7 +60,7 @@ class top : public uvm::uvm_env UVM_COMPONENT_UTILS(top); - void build_phase(uvm::uvm_phase& phase) + void build_phase(uvm::uvm_phase& phase) override { uvm::uvm_env::build_phase(phase); @@ -79,7 +79,7 @@ class top : public uvm::uvm_env bus_driver_cbs_t::display(); } - void run_phase(uvm::uvm_phase& phase) + void run_phase(uvm::uvm_phase& phase) override { phase.raise_objection(this); @@ -96,7 +96,7 @@ class top : public uvm::uvm_env phase.drop_objection(this); } - virtual ~top() + ~top() override { delete driver; delete cb1; diff --git a/tests/tests/uvm-systemc/examples/simple/configuration/manual/classA.h b/tests/tests/uvm-systemc/examples/simple/configuration/manual/classA.h index b6ef227e..6f5a7e10 100644 --- a/tests/tests/uvm-systemc/examples/simple/configuration/manual/classA.h +++ b/tests/tests/uvm-systemc/examples/simple/configuration/manual/classA.h @@ -20,7 +20,7 @@ #ifndef CLASSA_H_ #define CLASSA_H_ - +#include #include "classC.h" class A : public uvm::uvm_component @@ -34,7 +34,7 @@ class A : public uvm::uvm_component A(uvm::uvm_component_name name) : uvm::uvm_component(name), debug(0) {} - void build_phase(uvm::uvm_phase& phase) + void build_phase(uvm::uvm_phase& phase) override { u1 = C::type_id::create("u1",this); assert(u1); @@ -47,7 +47,7 @@ class A : public uvm::uvm_component std::cout << get_full_name() << ": In Build: debug = " << debug << std::endl; } - void do_print(const uvm::uvm_printer& printer) const + void do_print(const uvm::uvm_printer& printer) const override { printer.print_field_int("debug", debug, sizeof(debug)*CHAR_BIT); } diff --git a/tests/tests/uvm-systemc/examples/simple/configuration/manual/classB.h b/tests/tests/uvm-systemc/examples/simple/configuration/manual/classB.h index aafd9cfc..226aa19a 100644 --- a/tests/tests/uvm-systemc/examples/simple/configuration/manual/classB.h +++ b/tests/tests/uvm-systemc/examples/simple/configuration/manual/classB.h @@ -32,7 +32,7 @@ class B : public uvm::uvm_component B(uvm::uvm_component_name name) : uvm::uvm_component(name), debug(0) {} - void build_phase(uvm::uvm_phase& phase) + void build_phase(uvm::uvm_phase& phase) override { u1 = C::type_id::create("u1", this); @@ -44,7 +44,7 @@ class B : public uvm::uvm_component std::cout << get_full_name() << ": In Build: debug = " << debug << std::endl; } - void do_print(const uvm::uvm_printer& printer) const + void do_print(const uvm::uvm_printer& printer) const override { printer.print_field_int("debug", debug, sizeof(debug)*CHAR_BIT); } diff --git a/tests/tests/uvm-systemc/examples/simple/configuration/manual/classC.h b/tests/tests/uvm-systemc/examples/simple/configuration/manual/classC.h index a40e4a61..e6c1f18c 100644 --- a/tests/tests/uvm-systemc/examples/simple/configuration/manual/classC.h +++ b/tests/tests/uvm-systemc/examples/simple/configuration/manual/classC.h @@ -21,6 +21,8 @@ #ifndef CLASSC_H_ #define CLASSC_H_ +#include + class C : public uvm::uvm_component { int v; @@ -32,7 +34,7 @@ class C : public uvm::uvm_component C(uvm::uvm_component_name name ) : uvm::uvm_component(name) , v(0), s(0) {} - void build_phase(uvm::uvm_phase& phase) + void build_phase(uvm::uvm_phase& phase) override { std::string str; uvm_component::build_phase(phase); @@ -44,7 +46,7 @@ class C : public uvm::uvm_component if( uvm::uvm_config_db::get(this, "", "myaa[foobar]", str) ) myaa["foobar"] = str; } - void do_print(const uvm::uvm_printer& printer) const + void do_print(const uvm::uvm_printer& printer) const override { printer.print_field_int("v", v, sizeof(v)*CHAR_BIT); printer.print_field_int("s", s, sizeof(s)*CHAR_BIT); diff --git a/tests/tests/uvm-systemc/examples/simple/configuration/manual/my_env.h b/tests/tests/uvm-systemc/examples/simple/configuration/manual/my_env.h index 7e08d8ec..cf40ce1d 100644 --- a/tests/tests/uvm-systemc/examples/simple/configuration/manual/my_env.h +++ b/tests/tests/uvm-systemc/examples/simple/configuration/manual/my_env.h @@ -22,7 +22,7 @@ #define MY_ENV_H_ #include - +#include #include "classA.h" #include "classB.h" @@ -39,7 +39,7 @@ class my_env : public uvm::uvm_env my_env(uvm::uvm_component_name name) : uvm::uvm_env(name), debug(0) {} - void build_phase(uvm::uvm_phase& phase) + void build_phase(uvm::uvm_phase& phase) override { uvm_env::build_phase(phase); @@ -56,12 +56,12 @@ class my_env : public uvm::uvm_env std::cout << get_full_name() << ": In Build: debug = " << debug << std::endl; } - void do_print(const uvm::uvm_printer& printer) const + void do_print(const uvm::uvm_printer& printer) const override { printer.print_field_int("debug", debug, sizeof(debug)*CHAR_BIT); } - void run_phase(uvm::uvm_phase& phase) + void run_phase(uvm::uvm_phase& phase) override { phase.raise_objection(this); @@ -71,7 +71,7 @@ class my_env : public uvm::uvm_env phase.drop_objection(this); } - void report_phase(uvm::uvm_phase& phase) + void report_phase(uvm::uvm_phase& phase) override { // print information of variables in resource pool uvm::uvm_resource_db<>::dump(); diff --git a/tests/tests/uvm-systemc/examples/simple/factory/basic/env.h b/tests/tests/uvm-systemc/examples/simple/factory/basic/env.h index a13959cb..42db4b0f 100644 --- a/tests/tests/uvm-systemc/examples/simple/factory/basic/env.h +++ b/tests/tests/uvm-systemc/examples/simple/factory/basic/env.h @@ -35,13 +35,13 @@ class env : public uvm::uvm_env { } - void build_phase(uvm::uvm_phase& phase) + void build_phase(uvm::uvm_phase& phase) override { // instantiate environment using the factory gen1 = gen::type_id::create("gen1", this); } - void run_phase(uvm::uvm_phase& phase) + void run_phase(uvm::uvm_phase& phase) override { phase.raise_objection(this); int i; diff --git a/tests/tests/uvm-systemc/examples/simple/factory/basic/packet.h b/tests/tests/uvm-systemc/examples/simple/factory/basic/packet.h index 957862e6..99501286 100644 --- a/tests/tests/uvm-systemc/examples/simple/factory/basic/packet.h +++ b/tests/tests/uvm-systemc/examples/simple/factory/basic/packet.h @@ -1,6 +1,7 @@ //---------------------------------------------------------------------- // Copyright 2012-2014 NXP B.V. // Copyright 2009 Cadence Design Systems, Inc. +// Copyright 2018 Intel Corp. // All Rights Reserved Worldwide // // Licensed under the Apache License, Version 2.0 (the @@ -36,20 +37,20 @@ class packet : public uvm::uvm_object : uvm::uvm_object(name), addr(0x1234), data(0x5678) {} - void do_print(const uvm::uvm_printer& printer) const + void do_print(const uvm::uvm_printer& printer) const override { printer.print_field_int("addr", addr); printer.print_field_int("data", data); } - void do_copy(const uvm::uvm_object& rhs) + void do_copy(const uvm::uvm_object& rhs) override { const packet* drhs = dynamic_cast(&rhs); addr = drhs->addr; data = drhs->data; } - bool do_compare(const uvm::uvm_object& rhs) const + bool do_compare(const uvm::uvm_object& rhs, const uvm::uvm_comparer* comparer = nullptr) const override { const packet* drhs = dynamic_cast(&rhs); @@ -62,12 +63,12 @@ class packet : public uvm::uvm_object return true; } - void do_pack(uvm::uvm_packer& packet) const + void do_pack(uvm::uvm_packer& packet) const override { packet << addr << data; } - void do_unpack(uvm::uvm_packer& packet) + void do_unpack(uvm::uvm_packer& packet) override { packet >> addr >> data; } diff --git a/tests/tests/uvm-systemc/examples/simple/factory/basic/top.h b/tests/tests/uvm-systemc/examples/simple/factory/basic/top.h index 70263aed..1b838f79 100644 --- a/tests/tests/uvm-systemc/examples/simple/factory/basic/top.h +++ b/tests/tests/uvm-systemc/examples/simple/factory/basic/top.h @@ -29,7 +29,7 @@ class mygen : public gen public: mygen(uvm::uvm_component_name name) : gen(name) {} - packet* get_packet() + packet* get_packet() override { std::ostringstream str; str << "Getting a packet from " << get_full_name() @@ -60,7 +60,7 @@ class top : public uvm::uvm_env { } - void build_phase(uvm::uvm_phase& phase) + void build_phase(uvm::uvm_phase& phase) override { set_inst_override("e.gen1", "gen", "mygen"); set_type_override("packet","mypacket"); @@ -72,7 +72,7 @@ class top : public uvm::uvm_env uvm::uvm_factory::get()->print(1); } - void report_phase(uvm::uvm_phase& phase) + void report_phase(uvm::uvm_phase& phase) override { // print hierachy of UVM components uvm::uvm_root::get()->print_topology(); diff --git a/tests/tests/uvm-systemc/examples/simple/hello_world/consumer.h b/tests/tests/uvm-systemc/examples/simple/hello_world/consumer.h index 3d480fc5..a5f6dcd7 100644 --- a/tests/tests/uvm-systemc/examples/simple/hello_world/consumer.h +++ b/tests/tests/uvm-systemc/examples/simple/hello_world/consumer.h @@ -44,7 +44,7 @@ class consumer : public uvm::uvm_component UVM_COMPONENT_UTILS(consumer); - virtual void run_phase(uvm::uvm_phase& phase) + void run_phase(uvm::uvm_phase& phase) override { T p; while(out.size()) diff --git a/tests/tests/uvm-systemc/examples/simple/hello_world/packet.h b/tests/tests/uvm-systemc/examples/simple/hello_world/packet.h index 09f60d6b..04d5c5bc 100644 --- a/tests/tests/uvm-systemc/examples/simple/hello_world/packet.h +++ b/tests/tests/uvm-systemc/examples/simple/hello_world/packet.h @@ -39,7 +39,7 @@ class packet : public uvm::uvm_transaction : uvm_transaction(name) {} - virtual void do_print(const uvm::uvm_printer& printer) const + void do_print(const uvm::uvm_printer& printer) const override { printer.print_field_int("addr", addr); } diff --git a/tests/tests/uvm-systemc/examples/simple/hello_world/producer.h b/tests/tests/uvm-systemc/examples/simple/hello_world/producer.h index 58dd3de8..59a116a1 100644 --- a/tests/tests/uvm-systemc/examples/simple/hello_world/producer.h +++ b/tests/tests/uvm-systemc/examples/simple/hello_world/producer.h @@ -47,7 +47,7 @@ class producer : public uvm::uvm_component `uvm_component_utils_end */ - virtual void run_phase( uvm::uvm_phase& phase ) + void run_phase( uvm::uvm_phase& phase ) override { T p; std::stringstream num; diff --git a/tests/tests/uvm-systemc/examples/simple/hello_world/top.h b/tests/tests/uvm-systemc/examples/simple/hello_world/top.h index 37241d28..d2c155d1 100644 --- a/tests/tests/uvm-systemc/examples/simple/hello_world/top.h +++ b/tests/tests/uvm-systemc/examples/simple/hello_world/top.h @@ -53,7 +53,7 @@ class top : public uvm::uvm_component c.out.connect(f); // f.get_export } - virtual void run_phase( uvm::uvm_phase& phase ) + void run_phase( uvm::uvm_phase& phase ) override { phase.raise_objection(this); sc_core::wait(1.0, sc_core::SC_MS); // 1ms diff --git a/tests/tests/uvm-systemc/examples/simple/objections/basic/test.cpp b/tests/tests/uvm-systemc/examples/simple/objections/basic/test.cpp index 7db4b065..445b9efa 100644 --- a/tests/tests/uvm-systemc/examples/simple/objections/basic/test.cpp +++ b/tests/tests/uvm-systemc/examples/simple/objections/basic/test.cpp @@ -55,7 +55,7 @@ class simple_test: public uvm_test // Register with the factory. UVM_COMPONENT_UTILS(simple_test); - void run_phase(uvm_phase& phase) + void run_phase(uvm_phase& phase) override { // Set a drain time on the objection @@ -75,7 +75,7 @@ class simple_test: public uvm_test SC_JOIN } - void report_phase( uvm_phase& phase ) + void report_phase( uvm_phase& phase ) override { uvm_report_info(get_name(), "Ended all doit's", UVM_NONE); } @@ -103,10 +103,10 @@ class simple_test: public uvm_test // Use an objection callback do something when objections are raised or // dropped (or all dropped). This example prints some information on each // drop. - virtual void dropped( uvm_objection* objection, + void dropped( uvm_objection* objection, uvm_object* source_obj, const std::string& description, - int count ) + int count ) override { std::ostringstream str; str << count diff --git a/tests/tests/uvm-systemc/examples/simple/phases/basic/test.cpp b/tests/tests/uvm-systemc/examples/simple/phases/basic/test.cpp index 16d50733..7835d145 100644 --- a/tests/tests/uvm-systemc/examples/simple/phases/basic/test.cpp +++ b/tests/tests/uvm-systemc/examples/simple/phases/basic/test.cpp @@ -48,49 +48,49 @@ class D : public uvm_component // constructor D(uvm_component_name name) : uvm_component(name) {} - void build_phase(uvm_phase& phase) + void build_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void connect_phase(uvm_phase& phase) + void connect_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void end_of_elaboration_phase(uvm_phase& phase) + void end_of_elaboration_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void start_of_simulation_phase(uvm_phase& phase) + void start_of_simulation_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void extract_phase(uvm_phase& phase) + void extract_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void check_phase(uvm_phase& phase) + void check_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void report_phase(uvm_phase& phase) + void report_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void final_phase(uvm_phase& phase) + void final_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; @@ -107,49 +107,49 @@ class B : public uvm_component delay = sc_time(1.1, SC_MS); } - void build_phase(uvm_phase& phase) + void build_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void connect_phase(uvm_phase& phase) + void connect_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void end_of_elaboration_phase(uvm_phase& phase) + void end_of_elaboration_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void start_of_simulation_phase(uvm_phase& phase) + void start_of_simulation_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void extract_phase(uvm_phase& phase) + void extract_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void check_phase(uvm_phase& phase) + void check_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void report_phase(uvm_phase& phase) + void report_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void run_phase(uvm_phase& phase) + void run_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " start phase: " << phase.get_name() << std::endl; @@ -173,55 +173,55 @@ class A : public uvm_component delay = sc_time(5.5, SC_MS); } - void build_phase(uvm_phase& phase) + void build_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void connect_phase(uvm_phase& phase) + void connect_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void end_of_elaboration_phase(uvm_phase& phase) + void end_of_elaboration_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void start_of_simulation_phase(uvm_phase& phase) + void start_of_simulation_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void extract_phase(uvm_phase& phase) + void extract_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void check_phase(uvm_phase& phase) + void check_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void report_phase(uvm_phase& phase) + void report_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void final_phase(uvm_phase& phase) + void final_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void run_phase(uvm_phase& phase) + void run_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " start phase: " << phase.get_name() << std::endl; @@ -231,7 +231,7 @@ class A : public uvm_component } // destructor - virtual ~A() + ~A() override { delete b1; delete d1; @@ -255,7 +255,7 @@ class AA : public uvm_component } // destructor - virtual ~AA() + ~AA() override { delete a; } @@ -273,55 +273,55 @@ class top : public uvm_env a2 = new AA("a2"); } - void build_phase(uvm_phase& phase) + void build_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void connect_phase(uvm_phase& phase) + void connect_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void end_of_elaboration_phase(uvm_phase& phase) + void end_of_elaboration_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void start_of_simulation_phase(uvm_phase& phase) + void start_of_simulation_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void extract_phase(uvm_phase& phase) + void extract_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void check_phase(uvm_phase& phase) + void check_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void report_phase(uvm_phase& phase) + void report_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void final_phase(uvm_phase& phase) + void final_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void run_phase(uvm_phase& phase) + void run_phase(uvm_phase& phase) override { phase.raise_objection(this); std::cout << sc_time_stamp() << ": " << get_full_name() @@ -333,7 +333,7 @@ class top : public uvm_env } // destructor - virtual ~top() + ~top() override { delete a1; delete a2; diff --git a/tests/tests/uvm-systemc/examples/simple/phases/timeout/tb_env.h b/tests/tests/uvm-systemc/examples/simple/phases/timeout/tb_env.h index 2eaa11ef..1c10f4bc 100644 --- a/tests/tests/uvm-systemc/examples/simple/phases/timeout/tb_env.h +++ b/tests/tests/uvm-systemc/examples/simple/phases/timeout/tb_env.h @@ -1,5 +1,5 @@ //---------------------------------------------------------------------- -// Copyright 2013-2021 NXP B.V. +// Copyright 2013-2025 NXP B.V. // Copyright 2011 Synopsys, Inc. // All Rights Reserved // @@ -32,35 +32,35 @@ class tb_env : public uvm::uvm_env tb_env(uvm::uvm_component_name name) : uvm::uvm_env(name) {} - void build_phase(uvm::uvm_phase& phase) + void build_phase(uvm::uvm_phase& phase) override { uvm::uvm_config_db::set(nullptr, "global_timer.*", "timeout", sc_core::sc_time(1000, sc_core::SC_US)); uvm::uvm_config_db::set(nullptr, "global_timer.main", "timeout", sc_core::sc_time(3000, sc_core::SC_US)); uvm::uvm_config_db::set(nullptr, "global_timer.run", "timeout", sc_core::sc_time(0, sc_core::SC_US)); } - void reset_phase(uvm::uvm_phase& phase) + void reset_phase(uvm::uvm_phase& phase) override { phase.raise_objection(this); sc_core::wait(20, sc_core::SC_US); phase.drop_objection(this); } - void configure_phase(uvm::uvm_phase& phase) + void configure_phase(uvm::uvm_phase& phase) override { phase.raise_objection(this); sc_core::wait(200, sc_core::SC_US); phase.drop_objection(this); } - void main_phase(uvm::uvm_phase& phase) + void main_phase(uvm::uvm_phase& phase) override { phase.raise_objection(this); sc_core::wait(1000, sc_core::SC_US); phase.drop_objection(this); } - void shutdown_phase(uvm::uvm_phase& phase) + void shutdown_phase(uvm::uvm_phase& phase) override { phase.raise_objection(this); sc_core::wait(10, sc_core::SC_US); diff --git a/tests/tests/uvm-systemc/examples/simple/phases/timeout/tb_timer.h b/tests/tests/uvm-systemc/examples/simple/phases/timeout/tb_timer.h index d8826020..4dc71d7b 100644 --- a/tests/tests/uvm-systemc/examples/simple/phases/timeout/tb_timer.h +++ b/tests/tests/uvm-systemc/examples/simple/phases/timeout/tb_timer.h @@ -30,7 +30,7 @@ class tb_timer : public uvm::uvm_component tb_timer(uvm::uvm_component_name name) : uvm_component(name) { std::cout << "tb_timer" << std::endl;} - void run_phase(uvm::uvm_phase& phase) + void run_phase(uvm::uvm_phase& phase) override { sc_core::sc_time t; if (uvm::uvm_config_db::get(this, "run", "timeout", t) && @@ -41,7 +41,7 @@ class tb_timer : public uvm::uvm_component } } - void pre_reset_phase(uvm::uvm_phase& phase) + void pre_reset_phase(uvm::uvm_phase& phase) override { sc_core::sc_time t; if (uvm::uvm_config_db::get(this, "pre_reset", "timeout", t) && @@ -52,7 +52,7 @@ class tb_timer : public uvm::uvm_component } } - void reset_phase(uvm::uvm_phase& phase) + void reset_phase(uvm::uvm_phase& phase) override { sc_core::sc_time t; if (uvm::uvm_config_db::get(this, "reset", "timeout", t) && @@ -63,7 +63,7 @@ class tb_timer : public uvm::uvm_component } } - void post_reset_phase(uvm::uvm_phase& phase) + void post_reset_phase(uvm::uvm_phase& phase) override { sc_core::sc_time t; if (uvm::uvm_config_db::get(this, "post_reset", "timeout", t) && @@ -74,7 +74,7 @@ class tb_timer : public uvm::uvm_component } } - void pre_configure_phase(uvm::uvm_phase& phase) + void pre_configure_phase(uvm::uvm_phase& phase) override { sc_core::sc_time t; if (uvm::uvm_config_db::get(this, "pre_configure", "timeout", t) && @@ -85,7 +85,7 @@ class tb_timer : public uvm::uvm_component } } - void configure_phase(uvm::uvm_phase& phase) + void configure_phase(uvm::uvm_phase& phase) override { sc_core::sc_time t; if (uvm::uvm_config_db::get(this, "configure", "timeout", t) && @@ -96,7 +96,7 @@ class tb_timer : public uvm::uvm_component } } - void post_configure_phase(uvm::uvm_phase& phase) + void post_configure_phase(uvm::uvm_phase& phase) override { sc_core::sc_time t; if (uvm::uvm_config_db::get(this, "post_configure", "timeout", t) && @@ -107,7 +107,7 @@ class tb_timer : public uvm::uvm_component } } - void pre_main_phase(uvm::uvm_phase& phase) + void pre_main_phase(uvm::uvm_phase& phase) override { sc_core::sc_time t; if (uvm::uvm_config_db::get(this, "pre_main", "timeout", t) && @@ -118,7 +118,7 @@ class tb_timer : public uvm::uvm_component } } - void main_phase(uvm::uvm_phase& phase) + void main_phase(uvm::uvm_phase& phase) override { sc_core::sc_time t; if (uvm::uvm_config_db::get(this, "main", "timeout", t) && @@ -129,7 +129,7 @@ class tb_timer : public uvm::uvm_component } } - void post_main_phase(uvm::uvm_phase& phase) + void post_main_phase(uvm::uvm_phase& phase) override { sc_core::sc_time t; if (uvm::uvm_config_db::get(this, "post_main", "timeout", t) && @@ -140,7 +140,7 @@ class tb_timer : public uvm::uvm_component } } - void pre_shutdown_phase(uvm::uvm_phase& phase) + void pre_shutdown_phase(uvm::uvm_phase& phase) override { sc_core::sc_time t; if (uvm::uvm_config_db::get(this, "pre_shutdown", "timeout", t) && @@ -151,7 +151,7 @@ class tb_timer : public uvm::uvm_component } } - void shutdown_phase(uvm::uvm_phase& phase) + void shutdown_phase(uvm::uvm_phase& phase) override { sc_core::sc_time t; if (uvm::uvm_config_db::get(this, "shutdown", "timeout", t) && @@ -162,7 +162,7 @@ class tb_timer : public uvm::uvm_component } } - void post_shutdown_phase(uvm::uvm_phase& phase) + void post_shutdown_phase(uvm::uvm_phase& phase) override { sc_core::sc_time t; if (uvm::uvm_config_db::get(this, "post_shutdown", "timeout", t) && diff --git a/tests/tests/uvm-systemc/examples/simple/phases/timeout/test.cpp b/tests/tests/uvm-systemc/examples/simple/phases/timeout/test.cpp index c4442e3a..db0b6735 100644 --- a/tests/tests/uvm-systemc/examples/simple/phases/timeout/test.cpp +++ b/tests/tests/uvm-systemc/examples/simple/phases/timeout/test.cpp @@ -39,14 +39,14 @@ class test : public uvm_test UVM_COMPONENT_UTILS(test); - void pre_main_phase(uvm_phase& phase) + void pre_main_phase(uvm_phase& phase) override { phase.raise_objection(this); wait(100, SC_US); phase.drop_objection(this); } - void main_phase(uvm_phase& phase) + void main_phase(uvm_phase& phase) override { phase.raise_objection(this); // Will cause a time-out @@ -55,14 +55,14 @@ class test : public uvm_test //phase.drop_objection(this); } - void shutdown_phase(uvm_phase& phase) + void shutdown_phase(uvm_phase& phase) override { phase.raise_objection(this); wait(100, SC_US); phase.drop_objection(this); } - virtual ~test() + ~test() override { tb_timer::destroy(); } diff --git a/tests/tests/uvm-systemc/examples/simple/registers/models/aliasing/reg_agent.h b/tests/tests/uvm-systemc/examples/simple/registers/models/aliasing/reg_agent.h index d5d8b44e..108c41a0 100644 --- a/tests/tests/uvm-systemc/examples/simple/registers/models/aliasing/reg_agent.h +++ b/tests/tests/uvm-systemc/examples/simple/registers/models/aliasing/reg_agent.h @@ -27,6 +27,12 @@ class reg_rw : public uvm::uvm_sequence_item { + using uvm_sequence_item::uvm_report; + using uvm_sequence_item::uvm_report_info; + using uvm_sequence_item::uvm_report_warning; + using uvm_sequence_item::uvm_report_error; + using uvm_sequence_item::uvm_report_fatal; + public: bool read; // TODO randomize these 4 @@ -48,7 +54,7 @@ class reg_rw : public uvm::uvm_sequence_item `uvm_object_utils_end */ - std::string convert2string() + std::string convert2string() const override { std::ostringstream str; str << "reg_rw: " @@ -102,7 +108,7 @@ class reg_driver: public uvm::uvm_component m_parent(get_parent()) {} - void run_phase(uvm::uvm_phase& phase) + void run_phase(uvm::uvm_phase& phase) override { reg_monitor* mon; mon = dynamic_cast(m_parent->get_child("mon")); @@ -143,14 +149,14 @@ class reg_agent : public uvm::uvm_agent sqr(nullptr), drv(nullptr), mon(nullptr) {} - virtual void build_phase(uvm::uvm_phase& phase) + void build_phase(uvm::uvm_phase& phase) override { sqr = reg_sequencer::type_id::create("sqr", this); drv = reg_driver::type_id::create("drv", this); mon = reg_monitor::type_id::create("mon", this); } - virtual void connect_phase(uvm::uvm_phase& phase) + void connect_phase(uvm::uvm_phase& phase) override { drv->seqr_port.connect(sqr->seq_item_export); } @@ -170,7 +176,7 @@ class reg2rw_adapter : public uvm::uvm_reg_adapter provides_responses = true; } - virtual uvm::uvm_sequence_item* reg2bus( const uvm::uvm_reg_bus_op& rw ) + uvm::uvm_sequence_item* reg2bus( const uvm::uvm_reg_bus_op& rw ) override { reg_rw* bus = reg_rw::type_id::create("rw"); bus->read = (rw.kind == uvm::UVM_READ); @@ -180,8 +186,8 @@ class reg2rw_adapter : public uvm::uvm_reg_adapter return bus; } - virtual void bus2reg( const uvm::uvm_sequence_item* bus_item, - uvm::uvm_reg_bus_op& rw ) + void bus2reg( const uvm::uvm_sequence_item* bus_item, + uvm::uvm_reg_bus_op& rw ) override { const reg_rw* bus; bus = dynamic_cast(bus_item); diff --git a/tests/tests/uvm-systemc/examples/simple/registers/models/aliasing/regmodel.h b/tests/tests/uvm-systemc/examples/simple/registers/models/aliasing/regmodel.h index 05435d33..66b8c60e 100644 --- a/tests/tests/uvm-systemc/examples/simple/registers/models/aliasing/regmodel.h +++ b/tests/tests/uvm-systemc/examples/simple/registers/models/aliasing/regmodel.h @@ -86,12 +86,12 @@ class write_also_to_F : public uvm::uvm_reg_cbs m_toF = toF; } - virtual void post_predict( uvm::uvm_reg_field* fld, + void post_predict( uvm::uvm_reg_field* fld, uvm::uvm_reg_data_t previous, - uvm::uvm_reg_data_t& value, + uvm::uvm_reg_data_t value, uvm::uvm_predict_e kind, uvm::uvm_path_e path, - uvm::uvm_reg_map* map ) + uvm::uvm_reg_map* map ) override { if (kind != uvm::UVM_PREDICT_WRITE) return; @@ -127,7 +127,7 @@ class alias_RaRb : public uvm::uvm_object uvm::uvm_reg_field_cb::add(Ra->F1, F2F3); } - virtual ~alias_RaRb() + ~alias_RaRb() override { delete F2F1; delete F2F2; diff --git a/tests/tests/uvm-systemc/examples/simple/registers/models/aliasing/tb_env.h b/tests/tests/uvm-systemc/examples/simple/registers/models/aliasing/tb_env.h index 054f93ee..a0a01816 100644 --- a/tests/tests/uvm-systemc/examples/simple/registers/models/aliasing/tb_env.h +++ b/tests/tests/uvm-systemc/examples/simple/registers/models/aliasing/tb_env.h @@ -95,7 +95,7 @@ class tb_env : public uvm::uvm_env reg2rw(nullptr) {} - virtual void build_phase(uvm::uvm_phase& phase) + void build_phase(uvm::uvm_phase& phase) override { uvm::uvm_env::build_phase(phase); @@ -110,7 +110,7 @@ class tb_env : public uvm::uvm_env predict->set_report_verbosity_level(uvm::UVM_FULL); } - virtual void connect_phase(uvm::uvm_phase& phase) + void connect_phase(uvm::uvm_phase& phase) override { reg2rw = new reg2rw_adapter("reg2rw"); @@ -122,7 +122,7 @@ class tb_env : public uvm::uvm_env regmodel->default_map->set_auto_predict(false); } - virtual ~tb_env() + ~tb_env() override { delete reg2rw; } diff --git a/tests/tests/uvm-systemc/examples/simple/registers/models/aliasing/tb_test.h b/tests/tests/uvm-systemc/examples/simple/registers/models/aliasing/tb_test.h index 132e4a06..7245357e 100644 --- a/tests/tests/uvm-systemc/examples/simple/registers/models/aliasing/tb_test.h +++ b/tests/tests/uvm-systemc/examples/simple/registers/models/aliasing/tb_test.h @@ -40,7 +40,7 @@ class tb_test : public uvm::uvm_test UVM_COMPONENT_UTILS(tb_test); - void build_phase(uvm::uvm_phase& phase) + void build_phase(uvm::uvm_phase& phase) override { uvm::uvm_test::build_phase(phase); @@ -48,9 +48,9 @@ class tb_test : public uvm::uvm_test seq = uvm::uvm_reg_bit_bash_seq::type_id::create("seq"); } - void run_phase(uvm::uvm_phase& phase) + void run_phase(uvm::uvm_phase& phase) override { - uvm::uvm_status_e status; + //uvm::uvm_status_e status; uvm::uvm_reg_data_t data; phase.raise_objection(this); diff --git a/tests/tests/uvm-systemc/examples/simple/registers/models/fifo_reg/inc/dut.h b/tests/tests/uvm-systemc/examples/simple/registers/models/fifo_reg/inc/dut.h index 809fcf0f..7b37240f 100644 --- a/tests/tests/uvm-systemc/examples/simple/registers/models/fifo_reg/inc/dut.h +++ b/tests/tests/uvm-systemc/examples/simple/registers/models/fifo_reg/inc/dut.h @@ -59,7 +59,10 @@ class dut : public sc_core::sc_module sensitive << pclk.pos(); } +#if IEEE_1666_SYSTEMC >= 202301L +#else SC_HAS_PROCESS(dut); +#endif private: void in_range_method() { in_range = (paddr.read().to_uint() - BASE_ADDR == 0); }; diff --git a/tests/tests/uvm-systemc/examples/simple/registers/models/fifo_reg/inc/dut_reset_seq.h b/tests/tests/uvm-systemc/examples/simple/registers/models/fifo_reg/inc/dut_reset_seq.h index fca5cf9f..45c61ddf 100644 --- a/tests/tests/uvm-systemc/examples/simple/registers/models/fifo_reg/inc/dut_reset_seq.h +++ b/tests/tests/uvm-systemc/examples/simple/registers/models/fifo_reg/inc/dut_reset_seq.h @@ -38,7 +38,7 @@ class dut_reset_seq : public uvm::uvm_sequence<> { uvm::uvm_sequence<>(name), dt(nullptr) {} - virtual void body(); + void body() override; dut_top* dt; }; diff --git a/tests/tests/uvm-systemc/examples/simple/registers/models/fifo_reg/inc/dut_top.h b/tests/tests/uvm-systemc/examples/simple/registers/models/fifo_reg/inc/dut_top.h index c29223a6..18aa2f02 100644 --- a/tests/tests/uvm-systemc/examples/simple/registers/models/fifo_reg/inc/dut_top.h +++ b/tests/tests/uvm-systemc/examples/simple/registers/models/fifo_reg/inc/dut_top.h @@ -27,16 +27,19 @@ #include -#include "../../../../../../tests/uvm-systemc/examples/integrated/apb/inc/apb_if.h" +#include "../../../../../integrated/apb/inc/apb_if.h" #include "dut.h" class dut_top : public sc_core::sc_module { public: dut_top(const sc_core::sc_module_name & name); - ~dut_top(); + ~dut_top() override; +#if IEEE_1666_SYSTEMC >= 202301L +#else SC_HAS_PROCESS(dut_top); +#endif apb_if* apb0; dut<>* dut0; diff --git a/tests/tests/uvm-systemc/examples/simple/registers/models/fifo_reg/inc/reg_model.h b/tests/tests/uvm-systemc/examples/simple/registers/models/fifo_reg/inc/reg_model.h index 9219d49e..6cdb3fcc 100644 --- a/tests/tests/uvm-systemc/examples/simple/registers/models/fifo_reg/inc/reg_model.h +++ b/tests/tests/uvm-systemc/examples/simple/registers/models/fifo_reg/inc/reg_model.h @@ -45,7 +45,7 @@ class reg_block_B : public uvm::uvm_reg_block reg_block_B(const std::string & name = "B") : uvm::uvm_reg_block(name, uvm::UVM_NO_COVERAGE) {} - ~reg_block_B() { + ~reg_block_B() override { if (FIFO) { delete FIFO; } diff --git a/tests/tests/uvm-systemc/examples/simple/registers/models/fifo_reg/inc/tb_env.h b/tests/tests/uvm-systemc/examples/simple/registers/models/fifo_reg/inc/tb_env.h index 546a8116..8f95ec3a 100644 --- a/tests/tests/uvm-systemc/examples/simple/registers/models/fifo_reg/inc/tb_env.h +++ b/tests/tests/uvm-systemc/examples/simple/registers/models/fifo_reg/inc/tb_env.h @@ -46,11 +46,11 @@ class tb_env : public uvm::uvm_env dut_top* dt; tb_env(uvm::uvm_component_name name); - ~tb_env(); + ~tb_env() override; - virtual void build_phase(uvm::uvm_phase & phase); - virtual void connect_phase(uvm::uvm_phase & phase); - virtual void run_phase(uvm::uvm_phase & phase); + void build_phase(uvm::uvm_phase & phase) override; + void connect_phase(uvm::uvm_phase & phase) override; + void run_phase(uvm::uvm_phase & phase) override; private: std::mt19937 rng {SEED}; diff --git a/tests/tests/uvm-systemc/examples/simple/registers/models/ro_wo_same_addr/reg_agent.h b/tests/tests/uvm-systemc/examples/simple/registers/models/ro_wo_same_addr/reg_agent.h index 4bb1a310..2816a132 100644 --- a/tests/tests/uvm-systemc/examples/simple/registers/models/ro_wo_same_addr/reg_agent.h +++ b/tests/tests/uvm-systemc/examples/simple/registers/models/ro_wo_same_addr/reg_agent.h @@ -2,6 +2,7 @@ // Copyright 2010-2011 Cadence Design Systems, Inc. // Copyright 2010-2011 Synopsys, Inc. // Copyright 2013-2014 NXP B.V. +// Copyright 2018 Intel Corp. // All Rights Reserved Worldwide // // Licensed under the Apache License, Version 2.0 (the @@ -27,6 +28,12 @@ class reg_rw : public uvm::uvm_sequence_item { + using uvm_sequence_item::uvm_report; + using uvm_sequence_item::uvm_report_info; + using uvm_sequence_item::uvm_report_warning; + using uvm_sequence_item::uvm_report_error; + using uvm_sequence_item::uvm_report_fatal; + public: bool read; // TODO randomize these 4 @@ -48,7 +55,7 @@ class reg_rw : public uvm::uvm_sequence_item `uvm_object_utils_end */ - std::string convert2string() + std::string convert2string() const override { std::ostringstream str; str << "reg_rw: " @@ -102,7 +109,7 @@ class reg_driver: public uvm::uvm_component m_parent(get_parent()) {} - void run_phase(uvm::uvm_phase& phase) + void run_phase(uvm::uvm_phase& phase) override { reg_monitor* mon; mon = dynamic_cast(m_parent->get_child("mon")); @@ -143,14 +150,14 @@ class reg_agent : public uvm::uvm_agent sqr(nullptr), drv(nullptr), mon(nullptr) {} - virtual void build_phase(uvm::uvm_phase& phase) + void build_phase(uvm::uvm_phase& phase) override { sqr = reg_sequencer::type_id::create("sqr", this); drv = reg_driver::type_id::create("drv", this); mon = reg_monitor::type_id::create("mon", this); } - virtual void connect_phase(uvm::uvm_phase& phase) + void connect_phase(uvm::uvm_phase& phase) override { drv->seqr_port.connect(sqr->seq_item_export); } @@ -170,7 +177,7 @@ class reg2rw_adapter : public uvm::uvm_reg_adapter provides_responses = true; } - virtual uvm::uvm_sequence_item* reg2bus( const uvm::uvm_reg_bus_op& rw ) + uvm::uvm_sequence_item* reg2bus( const uvm::uvm_reg_bus_op& rw ) override { reg_rw* bus = reg_rw::type_id::create("rw"); bus->read = (rw.kind == uvm::UVM_READ); @@ -180,8 +187,8 @@ class reg2rw_adapter : public uvm::uvm_reg_adapter return bus; } - virtual void bus2reg( const uvm::uvm_sequence_item* bus_item, - uvm::uvm_reg_bus_op& rw ) + void bus2reg( const uvm::uvm_sequence_item* bus_item, + uvm::uvm_reg_bus_op& rw ) override { const reg_rw* bus; bus = dynamic_cast(bus_item); diff --git a/tests/tests/uvm-systemc/examples/simple/registers/models/ro_wo_same_addr/tb_env.h b/tests/tests/uvm-systemc/examples/simple/registers/models/ro_wo_same_addr/tb_env.h index 59d9698f..bc2eff69 100644 --- a/tests/tests/uvm-systemc/examples/simple/registers/models/ro_wo_same_addr/tb_env.h +++ b/tests/tests/uvm-systemc/examples/simple/registers/models/ro_wo_same_addr/tb_env.h @@ -83,7 +83,7 @@ class tb_env : public uvm::uvm_env reg2rw(nullptr) {} - virtual void build_phase(uvm::uvm_phase& phase) + void build_phase(uvm::uvm_phase& phase) override { uvm::uvm_env::build_phase(phase); @@ -98,7 +98,7 @@ class tb_env : public uvm::uvm_env predict->set_report_verbosity_level(uvm::UVM_FULL); } - virtual void connect_phase(uvm::uvm_phase& phase) + void connect_phase(uvm::uvm_phase& phase) override { reg2rw = new reg2rw_adapter("reg2rw"); @@ -112,7 +112,7 @@ class tb_env : public uvm::uvm_env regmodel->default_map->set_auto_predict(false); } - virtual ~tb_env() + ~tb_env() override { delete reg2rw; } diff --git a/tests/tests/uvm-systemc/examples/simple/registers/models/ro_wo_same_addr/tb_test.h b/tests/tests/uvm-systemc/examples/simple/registers/models/ro_wo_same_addr/tb_test.h index 1c79e61d..8c4d3566 100644 --- a/tests/tests/uvm-systemc/examples/simple/registers/models/ro_wo_same_addr/tb_test.h +++ b/tests/tests/uvm-systemc/examples/simple/registers/models/ro_wo_same_addr/tb_test.h @@ -39,7 +39,7 @@ class tb_test : public uvm::uvm_test UVM_COMPONENT_UTILS(tb_test); - virtual void run_phase( uvm::uvm_phase& phase ) + void run_phase( uvm::uvm_phase& phase ) override { tb_env* env; uvm::uvm_status_e status; diff --git a/tests/tests/uvm-systemc/examples/simple/sequence/basic_read_write_sequence/bus_trans.h b/tests/tests/uvm-systemc/examples/simple/sequence/basic_read_write_sequence/bus_trans.h index 8b52c318..6d54a240 100644 --- a/tests/tests/uvm-systemc/examples/simple/sequence/basic_read_write_sequence/bus_trans.h +++ b/tests/tests/uvm-systemc/examples/simple/sequence/basic_read_write_sequence/bus_trans.h @@ -1,5 +1,6 @@ //---------------------------------------------------------------------- // Copyright 2012-2014 NXP B.V. +// Copyright 2018 Intel Corp. // All Rights Reserved Worldwide // // Licensed under the Apache License, Version 2.0 (the @@ -37,6 +38,12 @@ class bus_trans : public uvm::uvm_sequence_item { public: + using uvm_sequence_item::uvm_report; + using uvm_sequence_item::uvm_report_info; + using uvm_sequence_item::uvm_report_warning; + using uvm_sequence_item::uvm_report_error; + using uvm_sequence_item::uvm_report_fatal; + bus_trans( const std::string& name = "bus_trans_seq_item" ) : uvm::uvm_sequence_item(name) { addr = 0; @@ -44,11 +51,11 @@ class bus_trans : public uvm::uvm_sequence_item op = BUS_READ; } - ~bus_trans() {} + ~bus_trans() override {} UVM_OBJECT_UTILS(bus_trans); - virtual void do_copy( const uvm::uvm_object& rhs ) + void do_copy( const uvm::uvm_object& rhs ) override { const bus_trans* rhs_ = dynamic_cast(&rhs); if(rhs_ == nullptr) @@ -61,7 +68,7 @@ class bus_trans : public uvm::uvm_sequence_item op = rhs_->op; } - virtual bool do_compare( const uvm::uvm_object& rhs, const uvm::uvm_comparer* comparer ) + bool do_compare( const uvm::uvm_object& rhs, const uvm::uvm_comparer* comparer ) const override { const bus_trans* rhs_ = dynamic_cast(&rhs); if(rhs_ == nullptr) @@ -70,14 +77,14 @@ class bus_trans : public uvm::uvm_sequence_item return ((op == rhs_->op) && (addr == rhs_->addr) && (data == rhs_->data)); } - void do_print( const uvm::uvm_printer& printer ) const + void do_print( const uvm::uvm_printer& printer ) const override { printer.print_string("op", (op ? "BUS_WRITE":"BUS_READ")); printer.print_field_int("addr", addr); printer.print_field_int("data", data); } - std::string convert2string() + std::string convert2string() const override { std::ostringstream str; str << "op " << (op ? "BUS_WRITE":"BUS_READ"); @@ -88,8 +95,8 @@ class bus_trans : public uvm::uvm_sequence_item // data members public: - int addr; - int data; + unsigned int addr; + unsigned int data; bus_op_t op; }; @@ -100,8 +107,14 @@ class bus_trans : public uvm::uvm_sequence_item class bus_req : public bus_trans { public: + using bus_trans::uvm_sequence_item::uvm_report; + using bus_trans::uvm_sequence_item::uvm_report_info; + using bus_trans::uvm_sequence_item::uvm_report_warning; + using bus_trans::uvm_sequence_item::uvm_report_error; + using bus_trans::uvm_sequence_item::uvm_report_fatal; + bus_req( const std::string& name = "bus_req_seq_item" ) : bus_trans(name) {} - ~bus_req() {} + ~bus_req() override {} UVM_OBJECT_UTILS(bus_req); @@ -114,15 +127,21 @@ class bus_req : public bus_trans class bus_rsp : public bus_trans { public: + using bus_trans::uvm_sequence_item::uvm_report; + using bus_trans::uvm_sequence_item::uvm_report_info; + using bus_trans::uvm_sequence_item::uvm_report_warning; + using bus_trans::uvm_sequence_item::uvm_report_error; + using bus_trans::uvm_sequence_item::uvm_report_fatal; + bus_rsp( const std::string& name = "bus_rsp_seq_item" ) : bus_trans(name) { status = STATUS_NOT_OK; } - ~bus_rsp() {} + ~bus_rsp() override {} UVM_OBJECT_UTILS(bus_rsp); - virtual void do_copy( const uvm::uvm_object& rhs ) + void do_copy( const uvm::uvm_object& rhs ) override { const bus_rsp* rhs_ = dynamic_cast(&rhs); if(rhs_ == nullptr) @@ -132,7 +151,7 @@ class bus_rsp : public bus_trans status = rhs_->status; } - std::string convert2string() + std::string convert2string() const override { std::string statusstr; diff --git a/tests/tests/uvm-systemc/examples/simple/sequence/basic_read_write_sequence/env.h b/tests/tests/uvm-systemc/examples/simple/sequence/basic_read_write_sequence/env.h index d8389b26..aa9fc420 100644 --- a/tests/tests/uvm-systemc/examples/simple/sequence/basic_read_write_sequence/env.h +++ b/tests/tests/uvm-systemc/examples/simple/sequence/basic_read_write_sequence/env.h @@ -46,14 +46,14 @@ class env: public uvm::uvm_env env( uvm::uvm_component_name name ) : uvm::uvm_env( name ), sqr(0), drv(0) {} - void build_phase(uvm::uvm_phase& phase) + void build_phase(uvm::uvm_phase& phase) override { uvm::uvm_env::build_phase(phase); sqr = my_sequencer::type_id::create("sequence_controller", this); drv = my_driver::type_id::create("slave", this); - for (int i = 0; i < NUM_SEQS; i++) + for (unsigned int i = 0; i < NUM_SEQS; i++) { std::ostringstream str; str << "sequenceA" << i; @@ -61,12 +61,12 @@ class env: public uvm::uvm_env } } - void connect_phase(uvm::uvm_phase& phase) + void connect_phase(uvm::uvm_phase& phase) override { drv->seq_item_port(sqr->seq_item_export); } - void run_phase(uvm::uvm_phase& phase) + void run_phase(uvm::uvm_phase& phase) override { phase.raise_objection(this); SC_FORK @@ -84,14 +84,14 @@ class env: public uvm::uvm_env phase.drop_objection(this); } - void start_sequence(int n) + void start_sequence(unsigned int n) { sequence_a[n]->start(sqr, nullptr); } - virtual ~env() + ~env() override { - for (int i = 0; i < NUM_SEQS; i++) + for (unsigned int i = 0; i < NUM_SEQS; i++) { delete sequence_a[i]; } diff --git a/tests/tests/uvm-systemc/examples/simple/sequence/basic_read_write_sequence/my_driver.h b/tests/tests/uvm-systemc/examples/simple/sequence/basic_read_write_sequence/my_driver.h index abe75fe8..78ad800e 100644 --- a/tests/tests/uvm-systemc/examples/simple/sequence/basic_read_write_sequence/my_driver.h +++ b/tests/tests/uvm-systemc/examples/simple/sequence/basic_read_write_sequence/my_driver.h @@ -34,7 +34,7 @@ class my_driver : public uvm::uvm_driver UVM_COMPONENT_PARAM_UTILS(my_driver); - void run_phase(uvm::uvm_phase& phase) + void run_phase(uvm::uvm_phase& phase) override { REQ req; RSP rsp; @@ -66,7 +66,7 @@ class my_driver : public uvm::uvm_driver } private: - int data_array[512]; + unsigned int data_array[512]; }; diff --git a/tests/tests/uvm-systemc/examples/simple/sequence/basic_read_write_sequence/sequenceA.h b/tests/tests/uvm-systemc/examples/simple/sequence/basic_read_write_sequence/sequenceA.h index 6ddca8e8..e9ef60d0 100644 --- a/tests/tests/uvm-systemc/examples/simple/sequence/basic_read_write_sequence/sequenceA.h +++ b/tests/tests/uvm-systemc/examples/simple/sequence/basic_read_write_sequence/sequenceA.h @@ -39,7 +39,7 @@ class sequenceA : public uvm::uvm_sequence UVM_OBJECT_PARAM_UTILS(sequenceA); - void body() + void body() override { std::string prstring; REQ* req; @@ -87,12 +87,12 @@ class sequenceA : public uvm::uvm_sequence } private: - static int g_my_id; - int my_id; + static unsigned int g_my_id; + unsigned int my_id; }; template -int sequenceA::g_my_id = 1; +unsigned int sequenceA::g_my_id = 1; #endif /* SEQUENCEA_H_ */ diff --git a/tests/tests/uvm-systemc/examples/simple/tlm1/bidir/bidir.h b/tests/tests/uvm-systemc/examples/simple/tlm1/bidir/bidir.h index a8b243cf..63dc12f0 100644 --- a/tests/tests/uvm-systemc/examples/simple/tlm1/bidir/bidir.h +++ b/tests/tests/uvm-systemc/examples/simple/tlm1/bidir/bidir.h @@ -58,7 +58,7 @@ class master : public uvm::uvm_component {} - void run_phase( uvm::uvm_phase& phase) + void run_phase( uvm::uvm_phase& phase) override { // start sequences in parallel SC_FORK @@ -115,7 +115,7 @@ class slave : public uvm::uvm_component rsp_port("rsp_port") {} - void run_phase( uvm::uvm_phase& phase ) + void run_phase( uvm::uvm_phase& phase ) override { int request, response; std::string request_str, response_str; @@ -159,7 +159,7 @@ class bidir_env : public uvm::uvm_env req_rsp("req_rsp_channel") {} - void connect_phase( uvm::uvm_phase& phase) + void connect_phase( uvm::uvm_phase& phase) override { m.req_port.connect(req_rsp.put_request_export); m.rsp_port.connect(req_rsp.get_response_export); @@ -167,7 +167,7 @@ class bidir_env : public uvm::uvm_env s.rsp_port.connect(req_rsp.put_response_export); } - void run_phase( uvm::uvm_phase& phase) + void run_phase( uvm::uvm_phase& phase) override { phase.raise_objection(this); sc_core::wait(10.0, sc_core::SC_US); diff --git a/tests/tests/uvm-systemc/examples/simple/tlm1/hierarchy/hierarchy.h b/tests/tests/uvm-systemc/examples/simple/tlm1/hierarchy/hierarchy.h index 6660b12c..0d0d5795 100644 --- a/tests/tests/uvm-systemc/examples/simple/tlm1/hierarchy/hierarchy.h +++ b/tests/tests/uvm-systemc/examples/simple/tlm1/hierarchy/hierarchy.h @@ -75,7 +75,7 @@ class transaction : public uvm::uvm_transaction return ((a.data == b.data) && (a.addr == b.addr)); } - uvm_object* clone() + uvm_object* clone() override { transaction* t; @@ -84,7 +84,7 @@ class transaction : public uvm::uvm_transaction return t; } - std::string convert2string() const + std::string convert2string() const override { std::ostringstream s; s << "[ addr = " << addr @@ -117,7 +117,7 @@ class gen : public uvm::uvm_component put_port("put_port") {} - void run_phase( uvm::uvm_phase& phase ) + void run_phase( uvm::uvm_phase& phase ) override { transaction t; std::string msg; @@ -152,7 +152,7 @@ class conv : public uvm::uvm_component ap("analysis_port") {} - void run_phase( uvm::uvm_phase& phase ) + void run_phase( uvm::uvm_phase& phase ) override { transaction t; @@ -180,7 +180,7 @@ class bfm : public uvm::uvm_component get_port("get_port") {} - void run_phase( uvm::uvm_phase& phase ) + void run_phase( uvm::uvm_phase& phase ) override { transaction t; @@ -205,7 +205,7 @@ class listener : public uvm::uvm_subscriber : uvm::uvm_subscriber(name) {} - void write( const transaction& t ) + void write( const transaction& t ) override { std::ostringstream msg; msg << "Received: " << t.convert2string(); @@ -237,7 +237,7 @@ class producer : public uvm::uvm_component f("fifo") {} - void connect_phase( uvm::uvm_phase& phase ) + void connect_phase( uvm::uvm_phase& phase ) override { g.put_port.connect(f); // note: there is no f.blocking_put_export c.get_port.connect(f); // note: these is no f.blocking_get_export @@ -266,7 +266,7 @@ class consumer : public uvm::uvm_component f("fifo") {} - void connect_phase( uvm::uvm_phase& phase ) + void connect_phase( uvm::uvm_phase& phase ) override { put_export.connect(f); // note: there is no f.blocking_put_export b.get_port.connect(f); // note: there is no f.blocking_get_export @@ -294,13 +294,13 @@ class top : public uvm::uvm_env // Connections may also be done in the constructor, if you wish } - void connect_phase( uvm::uvm_phase& phase ) + void connect_phase( uvm::uvm_phase& phase ) override { p.put_port.connect(c.put_export); p.ap.connect(l.analysis_export); } - void run_phase( uvm::uvm_phase& phase ) + void run_phase( uvm::uvm_phase& phase ) override {} }; // class top @@ -319,7 +319,7 @@ class env : public uvm::uvm_env t("top") {} - void run_phase( uvm::uvm_phase& phase ) + void run_phase( uvm::uvm_phase& phase ) override { phase.raise_objection(this); sc_core::wait(10.0, sc_core::SC_MS); diff --git a/tests/tests/uvm-systemc/examples/simple/tlm1/producer_consumer/fifo.h b/tests/tests/uvm-systemc/examples/simple/tlm1/producer_consumer/fifo.h index fec5e876..bf931a5f 100644 --- a/tests/tests/uvm-systemc/examples/simple/tlm1/producer_consumer/fifo.h +++ b/tests/tests/uvm-systemc/examples/simple/tlm1/producer_consumer/fifo.h @@ -56,7 +56,7 @@ class producer : public uvm::uvm_component put_port("put_port") {} - void run_phase( uvm::uvm_phase& phase ) + void run_phase( uvm::uvm_phase& phase ) override { int randval; @@ -87,7 +87,7 @@ class consumer : public uvm::uvm_component get_port("get_port") {} - void run_phase( uvm::uvm_phase& phase ) + void run_phase( uvm::uvm_phase& phase ) override { int val; @@ -121,13 +121,13 @@ class env : public uvm::uvm_env f("fifo") {} - void connect_phase( uvm::uvm_phase& phase ) + void connect_phase( uvm::uvm_phase& phase ) override { p.put_port.connect(f); // note: there is no f.put_export c.get_port.connect(f); // note: there is no f.get_export } - void run_phase( uvm::uvm_phase& phase ) + void run_phase( uvm::uvm_phase& phase ) override { phase.raise_objection(this); sc_core::wait(10.0, sc_core::SC_MS); diff --git a/tests/tests/uvm-systemc/examples/simple/trivial/component.h b/tests/tests/uvm-systemc/examples/simple/trivial/component.h index 109523a5..f529d119 100644 --- a/tests/tests/uvm-systemc/examples/simple/trivial/component.h +++ b/tests/tests/uvm-systemc/examples/simple/trivial/component.h @@ -1,43 +1,43 @@ -//---------------------------------------------------------------------- -// Copyright 2009 Cadence Design Systems, Inc. -// Copyright 2012-2014 NXP B.V. -// All Rights Reserved Worldwide -// -// Licensed under the Apache License, Version 2.0 (the -// "License"); you may not use this file except in -// compliance with the License. You may obtain a copy of -// the License at -// -// http://www.apache.org/licenses/LICENSE-2.0 -// -// Unless required by applicable law or agreed to in -// writing, software distributed under the License is -// distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR -// CONDITIONS OF ANY KIND, either express or implied. See -// the License for the specific language governing -// permissions and limitations under the License. -//---------------------------------------------------------------------- - -#ifndef COMPONENT_H_ -#define COMPONENT_H_ - -#include -#include - -class my_component : public uvm::uvm_component -{ - public: - // register component to the factory - UVM_COMPONENT_UTILS(my_component); - - my_component(uvm::uvm_component_name name) : uvm::uvm_component(name) {} - - void run_phase(uvm::uvm_phase& phase) - { - phase.raise_objection(this); - UVM_INFO("component", "hello out there!", uvm::UVM_MEDIUM); - phase.drop_objection(this); - } -}; - -#endif /* COMPONENT_H_ */ +//---------------------------------------------------------------------- +// Copyright 2009 Cadence Design Systems, Inc. +// Copyright 2012-2014 NXP B.V. +// All Rights Reserved Worldwide +// +// Licensed under the Apache License, Version 2.0 (the +// "License"); you may not use this file except in +// compliance with the License. You may obtain a copy of +// the License at +// +// http://www.apache.org/licenses/LICENSE-2.0 +// +// Unless required by applicable law or agreed to in +// writing, software distributed under the License is +// distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR +// CONDITIONS OF ANY KIND, either express or implied. See +// the License for the specific language governing +// permissions and limitations under the License. +//---------------------------------------------------------------------- + +#ifndef COMPONENT_H_ +#define COMPONENT_H_ + +#include +#include + +class my_component : public uvm::uvm_component +{ + public: + // register component to the factory + UVM_COMPONENT_UTILS(my_component); + + my_component(uvm::uvm_component_name name) : uvm::uvm_component(name) {} + + void run_phase(uvm::uvm_phase& phase) override + { + phase.raise_objection(this); + UVM_INFO("component", "hello out there!", uvm::UVM_MEDIUM); + phase.drop_objection(this); + } +}; + +#endif /* COMPONENT_H_ */ diff --git a/tests/tests/uvm-systemc/examples/simple/trivial/sc_main.cpp b/tests/tests/uvm-systemc/examples/simple/trivial/sc_main.cpp index 07e681fb..ea83d96b 100644 --- a/tests/tests/uvm-systemc/examples/simple/trivial/sc_main.cpp +++ b/tests/tests/uvm-systemc/examples/simple/trivial/sc_main.cpp @@ -1,4 +1,3 @@ - //---------------------------------------------------------------------- // Copyright 2009 Cadence Design Systems, Inc. // Copyright 2012-2014 NXP B.V. diff --git a/tests/tests/uvm-systemc/examples_uvmsc/packer/methods/packet.h b/tests/tests/uvm-systemc/examples_uvmsc/packer/methods/packet.h index 5a80a60c..aa36a54b 100644 --- a/tests/tests/uvm-systemc/examples_uvmsc/packer/methods/packet.h +++ b/tests/tests/uvm-systemc/examples_uvmsc/packer/methods/packet.h @@ -38,26 +38,26 @@ class myobj : public uvm::uvm_object : uvm::uvm_object(name), a(a_), b(b_) {} - virtual void do_print(const uvm::uvm_printer& printer) const + void do_print(const uvm::uvm_printer& printer) const override { printer.print_field_int("a", a); printer.print_field_int("b", b); } - virtual void do_pack(uvm::uvm_packer& p) const + void do_pack(uvm::uvm_packer& p) const override { p.pack_field_int(a, 64); p.pack_field_int(b, 64); } - virtual void do_unpack(uvm::uvm_packer& p) + void do_unpack(uvm::uvm_packer& p) override { a = p.unpack_field_int(64); b = p.unpack_field_int(64); } virtual bool do_compare( const uvm::uvm_object& rhs, - const uvm::uvm_comparer* comparer = nullptr ) const + const uvm::uvm_comparer* comparer = nullptr ) const override { const myobj* drhs = dynamic_cast(&rhs); if (!drhs) @@ -96,9 +96,9 @@ class packet : public uvm::uvm_object o("o", _o1, _o2) {} - virtual ~packet() {} + ~packet() override {} - virtual void do_print(const uvm::uvm_printer& printer) const + void do_print(const uvm::uvm_printer& printer) const override { printer.print_field_int("data", data); printer.print_string("s", s); @@ -108,7 +108,7 @@ class packet : public uvm::uvm_object printer.print_object("o", o); } - virtual void do_pack(uvm::uvm_packer& p) const + void do_pack(uvm::uvm_packer& p) const override { p.pack_field_int(data, 64); p.pack_string(s); @@ -118,7 +118,7 @@ class packet : public uvm::uvm_object p.pack_object(o); } - virtual void do_unpack(uvm::uvm_packer& p) + void do_unpack(uvm::uvm_packer& p) override { data = p.unpack_field_int(64); s = p.unpack_string(3); @@ -128,7 +128,7 @@ class packet : public uvm::uvm_object p.unpack_object(o); } - virtual void do_copy( const uvm::uvm_object& rhs ) + void do_copy( const uvm::uvm_object& rhs ) override { const packet* drhs = dynamic_cast(&rhs); if (!drhs) @@ -140,7 +140,7 @@ class packet : public uvm::uvm_object } virtual bool do_compare( const uvm::uvm_object& rhs, - const uvm::uvm_comparer* comparer = nullptr ) const + const uvm::uvm_comparer* comparer = nullptr ) const override { const packet* drhs = dynamic_cast(&rhs); if (!drhs) diff --git a/tests/tests/uvm-systemc/examples_uvmsc/packer/operators/packet.h b/tests/tests/uvm-systemc/examples_uvmsc/packer/operators/packet.h index 9334f8c2..a97fd3ef 100644 --- a/tests/tests/uvm-systemc/examples_uvmsc/packer/operators/packet.h +++ b/tests/tests/uvm-systemc/examples_uvmsc/packer/operators/packet.h @@ -38,18 +38,18 @@ class myobj : public uvm::uvm_object : uvm::uvm_object(name), a(a_), b(b_) {} - virtual void do_print(const uvm::uvm_printer& printer) const + void do_print(const uvm::uvm_printer& printer) const override { printer.print_field_int("a", a); printer.print_field_int("b", b); } - virtual void do_pack(uvm::uvm_packer& p) const + void do_pack(uvm::uvm_packer& p) const override { p << a << b; } - virtual void do_unpack(uvm::uvm_packer& p) + void do_unpack(uvm::uvm_packer& p) override { p >> a >> b; } @@ -84,9 +84,9 @@ class packet : public uvm::uvm_object o("o", _o1, _o2) {} - virtual ~packet() {} + ~packet() override {} - virtual void do_print(const uvm::uvm_printer& printer) const + void do_print(const uvm::uvm_printer& printer) const override { printer.print_field_int("data", data); printer.print_string("s", s); @@ -96,17 +96,17 @@ class packet : public uvm::uvm_object printer.print_object("o", o); } - virtual void do_pack(uvm::uvm_packer& p) const + void do_pack(uvm::uvm_packer& p) const override { p << data << s << addr << r << t << o; } - virtual void do_unpack(uvm::uvm_packer& p) + void do_unpack(uvm::uvm_packer& p) override { p >> data >> s >> addr >> r >> t >> o; } - virtual void do_copy( const uvm::uvm_object& rhs ) + void do_copy( const uvm::uvm_object& rhs ) override { const packet* drhs = dynamic_cast(&rhs); if (!drhs) @@ -117,8 +117,8 @@ class packet : public uvm::uvm_object data = drhs->data; } - virtual bool do_compare( const uvm::uvm_object& rhs, - const uvm::uvm_comparer* comparer = nullptr ) const + bool do_compare( const uvm::uvm_object& rhs, + const uvm::uvm_comparer* comparer = nullptr ) const override { const packet* drhs = dynamic_cast(&rhs); if (!drhs) diff --git a/tests/tests/uvm-systemc/examples_uvmsc/phases/jump/test.cpp b/tests/tests/uvm-systemc/examples_uvmsc/phases/jump/test.cpp index e686cf87..0f8faa6a 100644 --- a/tests/tests/uvm-systemc/examples_uvmsc/phases/jump/test.cpp +++ b/tests/tests/uvm-systemc/examples_uvmsc/phases/jump/test.cpp @@ -45,49 +45,49 @@ class D : public uvm_component // constructor D(uvm_component_name name) : uvm_component(name) {} - void build_phase(uvm_phase& phase) + void build_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void connect_phase(uvm_phase& phase) + void connect_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void end_of_elaboration_phase(uvm_phase& phase) + void end_of_elaboration_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void start_of_simulation_phase(uvm_phase& phase) + void start_of_simulation_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void extract_phase(uvm_phase& phase) + void extract_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void check_phase(uvm_phase& phase) + void check_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void report_phase(uvm_phase& phase) + void report_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void final_phase(uvm_phase& phase) + void final_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; @@ -105,49 +105,49 @@ class B : public uvm_component jump_once = true; } - void build_phase(uvm_phase& phase) + void build_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void connect_phase(uvm_phase& phase) + void connect_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void end_of_elaboration_phase(uvm_phase& phase) + void end_of_elaboration_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void start_of_simulation_phase(uvm_phase& phase) + void start_of_simulation_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void extract_phase(uvm_phase& phase) + void extract_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void check_phase(uvm_phase& phase) + void check_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void report_phase(uvm_phase& phase) + void report_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void run_phase(uvm_phase& phase) + void run_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " start phase: " << phase.get_name() << std::endl; @@ -156,43 +156,43 @@ class B : public uvm_component << " end phase: " << phase.get_name() << std::endl; } - void pre_reset_phase(uvm_phase& phase) + void pre_reset_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void reset_phase(uvm_phase& phase) + void reset_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void post_reset_phase(uvm_phase& phase) + void post_reset_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void pre_configure_phase(uvm_phase& phase) + void pre_configure_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void configure_phase(uvm_phase& phase) + void configure_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void post_configure_phase(uvm_phase& phase) + void post_configure_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void pre_main_phase(uvm_phase& phase) + void pre_main_phase(uvm_phase& phase) override { if (jump_once) { @@ -208,31 +208,31 @@ class B : public uvm_component } } - void main_phase(uvm_phase& phase) + void main_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void post_main_phase(uvm_phase& phase) + void post_main_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void pre_shutdown_phase(uvm_phase& phase) + void pre_shutdown_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void shutdown_phase(uvm_phase& phase) + void shutdown_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void post_shutdown_phase(uvm_phase& phase) + void post_shutdown_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; @@ -255,55 +255,55 @@ class A : public uvm_component delay = sc_time(5.5, SC_MS); } - void build_phase(uvm_phase& phase) + void build_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void connect_phase(uvm_phase& phase) + void connect_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void end_of_elaboration_phase(uvm_phase& phase) + void end_of_elaboration_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void start_of_simulation_phase(uvm_phase& phase) + void start_of_simulation_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void extract_phase(uvm_phase& phase) + void extract_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void check_phase(uvm_phase& phase) + void check_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void report_phase(uvm_phase& phase) + void report_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void final_phase(uvm_phase& phase) + void final_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void run_phase(uvm_phase& phase) + void run_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " start phase: " << phase.get_name() << std::endl; @@ -312,92 +312,92 @@ class A : public uvm_component << " end phase: " << phase.get_name() << std::endl; } - void pre_reset_phase(uvm_phase& phase) + void pre_reset_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void reset_phase(uvm_phase& phase) + void reset_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void post_reset_phase(uvm_phase& phase) + void post_reset_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void pre_configure_phase(uvm_phase& phase) + void pre_configure_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void configure_phase(uvm_phase& phase) + void configure_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void post_configure_phase(uvm_phase& phase) + void post_configure_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void pre_main_phase(uvm_phase& phase) + void pre_main_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void main_phase(uvm_phase& phase) + void main_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void post_main_phase(uvm_phase& phase) + void post_main_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void pre_shutdown_phase(uvm_phase& phase) + void pre_shutdown_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void shutdown_phase(uvm_phase& phase) + void shutdown_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void post_shutdown_phase(uvm_phase& phase) + void post_shutdown_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void phase_started(uvm_phase& phase) + void phase_started(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase started: " << phase.get_name() << std::endl; } - void phase_ended(uvm_phase& phase) + void phase_ended(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase ended: " << phase.get_name() << std::endl; } // destructor - virtual ~A() + ~A() override { delete b1; delete d1; @@ -421,7 +421,7 @@ class AA : public uvm_component } // destructor - virtual ~AA() + ~AA() override { delete a; } @@ -439,55 +439,55 @@ class top : public uvm_env a2 = new AA("a2"); } - void build_phase(uvm_phase& phase) + void build_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void connect_phase(uvm_phase& phase) + void connect_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void end_of_elaboration_phase(uvm_phase& phase) + void end_of_elaboration_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void start_of_simulation_phase(uvm_phase& phase) + void start_of_simulation_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void extract_phase(uvm_phase& phase) + void extract_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void check_phase(uvm_phase& phase) + void check_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void report_phase(uvm_phase& phase) + void report_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void final_phase(uvm_phase& phase) + void final_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void run_phase(uvm_phase& phase) + void run_phase(uvm_phase& phase) override { phase.raise_objection(this); std::cout << sc_time_stamp() << ": " << get_full_name() @@ -498,80 +498,80 @@ class top : public uvm_env phase.drop_objection(this); } - void pre_reset_phase(uvm_phase& phase) + void pre_reset_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void reset_phase(uvm_phase& phase) + void reset_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void post_reset_phase(uvm_phase& phase) + void post_reset_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void pre_configure_phase(uvm_phase& phase) + void pre_configure_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void configure_phase(uvm_phase& phase) + void configure_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void post_configure_phase(uvm_phase& phase) + void post_configure_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void pre_main_phase(uvm_phase& phase) + void pre_main_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void main_phase(uvm_phase& phase) + void main_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void post_main_phase(uvm_phase& phase) + void post_main_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void pre_shutdown_phase(uvm_phase& phase) + void pre_shutdown_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void shutdown_phase(uvm_phase& phase) + void shutdown_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void post_shutdown_phase(uvm_phase& phase) + void post_shutdown_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } // destructor - virtual ~top() + ~top() override { delete a1; delete a2; diff --git a/tests/tests/uvm-systemc/examples_uvmsc/phases/runtime/test.cpp b/tests/tests/uvm-systemc/examples_uvmsc/phases/runtime/test.cpp index e0811654..807614fd 100644 --- a/tests/tests/uvm-systemc/examples_uvmsc/phases/runtime/test.cpp +++ b/tests/tests/uvm-systemc/examples_uvmsc/phases/runtime/test.cpp @@ -45,49 +45,49 @@ class D : public uvm_component // constructor D( uvm_component_name name ) : uvm_component(name) {} - void build_phase(uvm_phase& phase) + void build_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void connect_phase(uvm_phase& phase) + void connect_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void end_of_elaboration_phase(uvm_phase& phase) + void end_of_elaboration_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void start_of_simulation_phase(uvm_phase& phase) + void start_of_simulation_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void extract_phase(uvm_phase& phase) + void extract_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void check_phase(uvm_phase& phase) + void check_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void report_phase(uvm_phase& phase) + void report_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void final_phase(uvm_phase& phase) + void final_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; @@ -104,49 +104,49 @@ class B : public uvm_component delay = sc_time(1.1, SC_MS); } - void build_phase(uvm_phase& phase) + void build_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void connect_phase(uvm_phase& phase) + void connect_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void end_of_elaboration_phase(uvm_phase& phase) + void end_of_elaboration_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void start_of_simulation_phase(uvm_phase& phase) + void start_of_simulation_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void extract_phase(uvm_phase& phase) + void extract_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void check_phase(uvm_phase& phase) + void check_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void report_phase(uvm_phase& phase) + void report_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void run_phase(uvm_phase& phase) + void run_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " start phase: " << phase.get_name() << std::endl; @@ -155,73 +155,73 @@ class B : public uvm_component << " end phase: " << phase.get_name() << std::endl; } - void pre_reset_phase(uvm_phase& phase) + void pre_reset_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void reset_phase(uvm_phase& phase) + void reset_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void post_reset_phase(uvm_phase& phase) + void post_reset_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void pre_configure_phase(uvm_phase& phase) + void pre_configure_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void configure_phase(uvm_phase& phase) + void configure_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void post_configure_phase(uvm_phase& phase) + void post_configure_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void pre_main_phase(uvm_phase& phase) + void pre_main_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void main_phase(uvm_phase& phase) + void main_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void post_main_phase(uvm_phase& phase) + void post_main_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void pre_shutdown_phase(uvm_phase& phase) + void pre_shutdown_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void shutdown_phase(uvm_phase& phase) + void shutdown_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void post_shutdown_phase(uvm_phase& phase) + void post_shutdown_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; @@ -243,55 +243,55 @@ class A : public uvm_component delay = sc_time(5.5, SC_MS); } - void build_phase(uvm_phase& phase) + void build_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void connect_phase(uvm_phase& phase) + void connect_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void end_of_elaboration_phase(uvm_phase& phase) + void end_of_elaboration_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void start_of_simulation_phase(uvm_phase& phase) + void start_of_simulation_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void extract_phase(uvm_phase& phase) + void extract_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void check_phase(uvm_phase& phase) + void check_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void report_phase(uvm_phase& phase) + void report_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void final_phase(uvm_phase& phase) + void final_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void run_phase(uvm_phase& phase) + void run_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " start phase: " << phase.get_name() << std::endl; @@ -300,92 +300,92 @@ class A : public uvm_component << " end phase: " << phase.get_name() << std::endl; } - void pre_reset_phase(uvm_phase& phase) + void pre_reset_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void reset_phase(uvm_phase& phase) + void reset_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void post_reset_phase(uvm_phase& phase) + void post_reset_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void pre_configure_phase(uvm_phase& phase) + void pre_configure_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void configure_phase(uvm_phase& phase) + void configure_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void post_configure_phase(uvm_phase& phase) + void post_configure_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void pre_main_phase(uvm_phase& phase) + void pre_main_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void main_phase(uvm_phase& phase) + void main_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void post_main_phase(uvm_phase& phase) + void post_main_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void pre_shutdown_phase(uvm_phase& phase) + void pre_shutdown_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void shutdown_phase(uvm_phase& phase) + void shutdown_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void post_shutdown_phase(uvm_phase& phase) + void post_shutdown_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void phase_started(uvm_phase& phase) + void phase_started(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase started: " << phase.get_name() << std::endl; } - void phase_ended(uvm_phase& phase) + void phase_ended(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase ended: " << phase.get_name() << std::endl; } // destructor - virtual ~A() + ~A() override { delete b1; delete d1; @@ -409,7 +409,7 @@ class AA : public uvm_component } // destructor - virtual ~AA() + ~AA() override { delete a; } @@ -427,55 +427,55 @@ class top : public uvm_env a2 = new AA("a2"); } - void build_phase(uvm_phase& phase) + void build_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void connect_phase(uvm_phase& phase) + void connect_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void end_of_elaboration_phase(uvm_phase& phase) + void end_of_elaboration_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void start_of_simulation_phase(uvm_phase& phase) + void start_of_simulation_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void extract_phase(uvm_phase& phase) + void extract_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void check_phase(uvm_phase& phase) + void check_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void report_phase(uvm_phase& phase) + void report_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void final_phase(uvm_phase& phase) + void final_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void run_phase(uvm_phase& phase) + void run_phase(uvm_phase& phase) override { phase.raise_objection(this); std::cout << sc_time_stamp() << ": " << get_full_name() @@ -486,80 +486,80 @@ class top : public uvm_env phase.drop_objection(this); } - void pre_reset_phase(uvm_phase& phase) + void pre_reset_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void reset_phase(uvm_phase& phase) + void reset_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void post_reset_phase(uvm_phase& phase) + void post_reset_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void pre_configure_phase(uvm_phase& phase) + void pre_configure_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void configure_phase(uvm_phase& phase) + void configure_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void post_configure_phase(uvm_phase& phase) + void post_configure_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void pre_main_phase(uvm_phase& phase) + void pre_main_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void main_phase(uvm_phase& phase) + void main_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void post_main_phase(uvm_phase& phase) + void post_main_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void pre_shutdown_phase(uvm_phase& phase) + void pre_shutdown_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void shutdown_phase(uvm_phase& phase) + void shutdown_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } - void post_shutdown_phase(uvm_phase& phase) + void post_shutdown_phase(uvm_phase& phase) override { std::cout << sc_time_stamp() << ": " << get_full_name() << " phase: " << phase.get_name() << std::endl; } // destructor - virtual ~top() + ~top() override { delete a1; delete a2; diff --git a/tests/tests/uvm-systemc/examples_uvmsc/processes/basic/test.cpp b/tests/tests/uvm-systemc/examples_uvmsc/processes/basic/test.cpp index 93dc7c82..1cbb5a32 100644 --- a/tests/tests/uvm-systemc/examples_uvmsc/processes/basic/test.cpp +++ b/tests/tests/uvm-systemc/examples_uvmsc/processes/basic/test.cpp @@ -52,7 +52,7 @@ class sub_component: public uvm::uvm_component { cout << sc_time_stamp() << " " << name() << " process_b - end" << endl; } - void run_phase(uvm::uvm_phase& phase) { + void run_phase(uvm::uvm_phase& phase) override { uvm::uvm_component::run_phase(phase); cout << sc_time_stamp() << " " << name() << " run_phase(...) in process " << sc_get_current_process_handle().name() << endl; @@ -90,11 +90,11 @@ class top_component: public uvm::uvm_component { wait(40, SC_NS); } - void build_phase(uvm::uvm_phase& phase) { + void build_phase(uvm::uvm_phase& phase) override { i_sub_component = new sub_component("sub_component"); } - void run_phase(uvm::uvm_phase& phase) { + void run_phase(uvm::uvm_phase& phase) override { uvm::uvm_component::run_phase(phase); phase.raise_objection(this); diff --git a/tests/tests/uvm-systemc/examples_uvmsc/producer_consumer/basic/consumer.h b/tests/tests/uvm-systemc/examples_uvmsc/producer_consumer/basic/consumer.h index 5ecfa06d..e28ad10e 100644 --- a/tests/tests/uvm-systemc/examples_uvmsc/producer_consumer/basic/consumer.h +++ b/tests/tests/uvm-systemc/examples_uvmsc/producer_consumer/basic/consumer.h @@ -22,6 +22,7 @@ #define CONSUMER_H_ #include +#include template class consumer : public uvm::uvm_component @@ -36,7 +37,7 @@ class consumer : public uvm::uvm_component : uvm::uvm_component(name), in("in"), count(0) {} - void run_phase(uvm::uvm_phase& phase) + void run_phase(uvm::uvm_phase& phase) override { T p; while(in.size()) diff --git a/tests/tests/uvm-systemc/examples_uvmsc/producer_consumer/basic/producer.h b/tests/tests/uvm-systemc/examples_uvmsc/producer_consumer/basic/producer.h index dfb0b118..06dd817e 100644 --- a/tests/tests/uvm-systemc/examples_uvmsc/producer_consumer/basic/producer.h +++ b/tests/tests/uvm-systemc/examples_uvmsc/producer_consumer/basic/producer.h @@ -44,7 +44,7 @@ class producer : public uvm::uvm_component uvm::uvm_config_db::get(this, "", "num_packets", num_packets); }; - void run_phase(uvm::uvm_phase& phase) + void run_phase(uvm::uvm_phase& phase) override { phase.raise_objection(this); std::string image; diff --git a/tests/tests/uvm-systemc/examples_uvmsc/producer_consumer/basic/top.h b/tests/tests/uvm-systemc/examples_uvmsc/producer_consumer/basic/top.h index c43811d1..6cdd4fee 100644 --- a/tests/tests/uvm-systemc/examples_uvmsc/producer_consumer/basic/top.h +++ b/tests/tests/uvm-systemc/examples_uvmsc/producer_consumer/basic/top.h @@ -41,7 +41,7 @@ class top : public uvm::uvm_env public: UVM_COMPONENT_UTILS(top); - top(uvm::uvm_component_name name) : uvm::uvm_env(name), p1("p1"), p2("p2"), c("c"), fifo("fifo") + top(uvm::uvm_component_name name) : uvm::uvm_env(name), p1("p1"), p2("p2"), fifo("fifo"), c("c") { c.in(fifo); p1.out(fifo); diff --git a/tests/tests/uvm-systemc/examples_uvmsc/producer_consumer/basic_sc/consumer.h b/tests/tests/uvm-systemc/examples_uvmsc/producer_consumer/basic_sc/consumer.h index c4842593..f3637fd0 100644 --- a/tests/tests/uvm-systemc/examples_uvmsc/producer_consumer/basic_sc/consumer.h +++ b/tests/tests/uvm-systemc/examples_uvmsc/producer_consumer/basic_sc/consumer.h @@ -22,7 +22,7 @@ #define CONSUMER_H_ #include - +#include template class consumer : public uvm::uvm_component { @@ -36,7 +36,7 @@ class consumer : public uvm::uvm_component : uvm::uvm_component(name), in("in"), count(0) {} - void run_phase(uvm::uvm_phase& phase) + void run_phase(uvm::uvm_phase& phase) override { T p; while(in.size()) diff --git a/tests/tests/uvm-systemc/examples_uvmsc/producer_consumer/basic_sc/producer.h b/tests/tests/uvm-systemc/examples_uvmsc/producer_consumer/basic_sc/producer.h index 8267470f..b648991f 100644 --- a/tests/tests/uvm-systemc/examples_uvmsc/producer_consumer/basic_sc/producer.h +++ b/tests/tests/uvm-systemc/examples_uvmsc/producer_consumer/basic_sc/producer.h @@ -44,7 +44,7 @@ class producer : public uvm::uvm_component uvm::uvm_config_db::get(this, "", "num_packets", num_packets); }; - void run_phase(uvm::uvm_phase& phase) + void run_phase(uvm::uvm_phase& phase) override { phase.raise_objection(this); std::string image; diff --git a/tests/tests/uvm-systemc/examples_uvmsc/producer_consumer/basic_sc/top.h b/tests/tests/uvm-systemc/examples_uvmsc/producer_consumer/basic_sc/top.h index c43811d1..5b554b8c 100644 --- a/tests/tests/uvm-systemc/examples_uvmsc/producer_consumer/basic_sc/top.h +++ b/tests/tests/uvm-systemc/examples_uvmsc/producer_consumer/basic_sc/top.h @@ -23,6 +23,7 @@ #include #include +#include #include "config.h" #include "packet.h" @@ -41,7 +42,7 @@ class top : public uvm::uvm_env public: UVM_COMPONENT_UTILS(top); - top(uvm::uvm_component_name name) : uvm::uvm_env(name), p1("p1"), p2("p2"), c("c"), fifo("fifo") + top(uvm::uvm_component_name name) : uvm::uvm_env(name), p1("p1"), p2("p2"), fifo("fifo"), c("c") { c.in(fifo); p1.out(fifo); diff --git a/tests/tests/uvm-systemc/examples_uvmsc/producer_consumer/override/fifo_consumer.h b/tests/tests/uvm-systemc/examples_uvmsc/producer_consumer/override/fifo_consumer.h index 20c989ac..5f6875a2 100644 --- a/tests/tests/uvm-systemc/examples_uvmsc/producer_consumer/override/fifo_consumer.h +++ b/tests/tests/uvm-systemc/examples_uvmsc/producer_consumer/override/fifo_consumer.h @@ -25,7 +25,7 @@ #include #include "consumer.h" - +#include "packet.h" // define a fifo_consumer that derives from consumer // and uses a fifo internally to process the tokens; // implement put() to dump tokens to the fifo, that the run @@ -52,7 +52,7 @@ class fifo_consumer : public consumer UVM_COMPONENT_PARAM_UTILS(fifo_consumer); // (re)implement put() and consume tokens - void put(const T& t) + void put(const T& t) override { // process token t @@ -74,7 +74,7 @@ class fifo_consumer : public consumer fifo.put(t); } - void run_phase(uvm::uvm_phase& phase) + void run_phase(uvm::uvm_phase& phase) override { for (;;) { diff --git a/tests/tests/uvm-systemc/examples_uvmsc/producer_consumer/override/packet.h b/tests/tests/uvm-systemc/examples_uvmsc/producer_consumer/override/packet.h index ed2b4f53..f443d5b4 100644 --- a/tests/tests/uvm-systemc/examples_uvmsc/producer_consumer/override/packet.h +++ b/tests/tests/uvm-systemc/examples_uvmsc/producer_consumer/override/packet.h @@ -42,24 +42,24 @@ class packet : public uvm::uvm_object data = i; } - virtual ~packet() {} + ~packet() override {} - virtual void do_print(const uvm::uvm_printer& printer) const + void do_print(const uvm::uvm_printer& printer) const override { printer.print_field_int("data", data); } - virtual void do_pack(uvm::uvm_packer& p) const + void do_pack(uvm::uvm_packer& p) const override { p << data; } - virtual void do_unpack(uvm::uvm_packer& p) + void do_unpack(uvm::uvm_packer& p) override { p >> data; } - virtual void do_copy(const uvm_object& rhs) + void do_copy(const uvm_object& rhs) override { const packet* drhs = dynamic_cast(&rhs); if (!drhs) @@ -70,7 +70,7 @@ class packet : public uvm::uvm_object data = drhs->data; } - virtual bool do_compare(const uvm_object& rhs) const + bool do_compare(const uvm_object& rhs, const uvm::uvm_comparer* comparer) const override { const packet* drhs = dynamic_cast(&rhs); if (!drhs) diff --git a/tests/tests/uvm-systemc/examples_uvmsc/producer_consumer/override/parent_component.h b/tests/tests/uvm-systemc/examples_uvmsc/producer_consumer/override/parent_component.h index 4d0f9b3c..92bff08c 100644 --- a/tests/tests/uvm-systemc/examples_uvmsc/producer_consumer/override/parent_component.h +++ b/tests/tests/uvm-systemc/examples_uvmsc/producer_consumer/override/parent_component.h @@ -41,7 +41,7 @@ class parent_component : public uvm::uvm_component // use macro to generate member methods that the factory requires UVM_COMPONENT_UTILS(parent_component); - void build_phase(uvm::uvm_phase& phase) + void build_phase(uvm::uvm_phase& phase) override { uvm::uvm_component::build_phase(phase); diff --git a/tests/tests/uvm-systemc/examples_uvmsc/producer_consumer/override/producer.h b/tests/tests/uvm-systemc/examples_uvmsc/producer_consumer/override/producer.h index 0b5be276..fa5ed93f 100644 --- a/tests/tests/uvm-systemc/examples_uvmsc/producer_consumer/override/producer.h +++ b/tests/tests/uvm-systemc/examples_uvmsc/producer_consumer/override/producer.h @@ -53,11 +53,11 @@ class producer : public uvm::uvm_component // factory registration UVM_COMPONENT_PARAM_UTILS(producer); - void build_phase(uvm::uvm_phase& phase) + void build_phase(uvm::uvm_phase& phase) override {} // produce tokens in the run task - void run_phase(uvm::uvm_phase& phase) + void run_phase(uvm::uvm_phase& phase) override { phase.raise_objection(this); @@ -97,7 +97,7 @@ class producer : public uvm::uvm_component } // destructor - virtual ~producer() + ~producer() override { delete in_file_strm; } diff --git a/tests/tests/uvm-systemc/examples_uvmsc/producer_consumer/override/top.h b/tests/tests/uvm-systemc/examples_uvmsc/producer_consumer/override/top.h index b434fae5..4c3e5fa7 100644 --- a/tests/tests/uvm-systemc/examples_uvmsc/producer_consumer/override/top.h +++ b/tests/tests/uvm-systemc/examples_uvmsc/producer_consumer/override/top.h @@ -39,7 +39,7 @@ class top : public uvm::uvm_env UVM_COMPONENT_UTILS(top); - void build_phase(uvm::uvm_phase& phase) + void build_phase(uvm::uvm_phase& phase) override { uvm_env::build_phase(phase); @@ -59,7 +59,7 @@ class top : public uvm::uvm_env assert(component_p); } - void report_phase(uvm::uvm_phase& phase) + void report_phase(uvm::uvm_phase& phase) override { print_override_info("consumer"); // show factory overrides } diff --git a/tests/tests/uvm-systemc/examples_uvmsc/reporting/error/sc_main.cpp b/tests/tests/uvm-systemc/examples_uvmsc/reporting/error/sc_main.cpp index 0a018679..47c2d1eb 100644 --- a/tests/tests/uvm-systemc/examples_uvmsc/reporting/error/sc_main.cpp +++ b/tests/tests/uvm-systemc/examples_uvmsc/reporting/error/sc_main.cpp @@ -39,7 +39,7 @@ class comp_a : public uvm_component UVM_COMPONENT_UTILS(comp_a); - void run_phase(uvm_phase& phase) + void run_phase(uvm_phase& phase) override { // all arguments passes to member function uvm_report_error(get_full_name(), diff --git a/tests/tests/uvm-systemc/examples_uvmsc/reporting/info/sc_main.cpp b/tests/tests/uvm-systemc/examples_uvmsc/reporting/info/sc_main.cpp index d4212386..4be73da2 100644 --- a/tests/tests/uvm-systemc/examples_uvmsc/reporting/info/sc_main.cpp +++ b/tests/tests/uvm-systemc/examples_uvmsc/reporting/info/sc_main.cpp @@ -39,7 +39,7 @@ class comp_a : public uvm_component UVM_COMPONENT_UTILS(comp_a); - void run_phase(uvm_phase& phase) + void run_phase(uvm_phase& phase) override { // all arguments passes to member function uvm_report_info(get_full_name(), diff --git a/tests/tests/uvm-systemc/examples_uvmsc/reporting/warning/sc_main.cpp b/tests/tests/uvm-systemc/examples_uvmsc/reporting/warning/sc_main.cpp index 3792cb90..ae71930c 100644 --- a/tests/tests/uvm-systemc/examples_uvmsc/reporting/warning/sc_main.cpp +++ b/tests/tests/uvm-systemc/examples_uvmsc/reporting/warning/sc_main.cpp @@ -39,7 +39,7 @@ class comp_a : public uvm_component UVM_COMPONENT_UTILS(comp_a); - void run_phase(uvm_phase& phase) + void run_phase(uvm_phase& phase) override { // all arguments passes to member function uvm_report_warning(get_full_name(), diff --git a/tests/tests/uvm-systemc/examples_uvmsc/scoreboard/basic/rcv_subscriber.h b/tests/tests/uvm-systemc/examples_uvmsc/scoreboard/basic/rcv_subscriber.h index ba6d6fcf..96bb4aa2 100644 --- a/tests/tests/uvm-systemc/examples_uvmsc/scoreboard/basic/rcv_subscriber.h +++ b/tests/tests/uvm-systemc/examples_uvmsc/scoreboard/basic/rcv_subscriber.h @@ -33,7 +33,7 @@ class rcv_subscriber : public uvm::uvm_subscriber UVM_COMPONENT_UTILS(rcv_subscriber); - virtual void write(const vip_packet& p); + void write(const vip_packet& p) override; }; #endif /* RCV_SUBSCRIBER_H_ */ diff --git a/tests/tests/uvm-systemc/examples_uvmsc/scoreboard/basic/scoreboard.h b/tests/tests/uvm-systemc/examples_uvmsc/scoreboard/basic/scoreboard.h index fb9c2cfe..9b50040f 100644 --- a/tests/tests/uvm-systemc/examples_uvmsc/scoreboard/basic/scoreboard.h +++ b/tests/tests/uvm-systemc/examples_uvmsc/scoreboard/basic/scoreboard.h @@ -53,7 +53,7 @@ class scoreboard : public uvm::uvm_scoreboard std::cout << sc_core::sc_time_stamp() << ": " << name() << " rcv_listener in scoreboard received value " << p.data << std::endl; } - void build_phase(uvm::uvm_phase& phase) + void build_phase(uvm::uvm_phase& phase) override { uvm::uvm_scoreboard::build_phase(phase); std::cout << sc_core::sc_time_stamp() << ": build_phase " << name() << std::endl; @@ -68,7 +68,7 @@ class scoreboard : public uvm::uvm_scoreboard assert(rcv_listener); } - void connect_phase(uvm::uvm_phase& phase) + void connect_phase(uvm::uvm_phase& phase) override { xmt_listener_imp.connect(rcv_listener->analysis_export); rcv_listener_imp.connect(rcv_listener->analysis_export); diff --git a/tests/tests/uvm-systemc/examples_uvmsc/scoreboard/basic/sequence.h b/tests/tests/uvm-systemc/examples_uvmsc/scoreboard/basic/sequence.h index dbf738c9..84017d9d 100644 --- a/tests/tests/uvm-systemc/examples_uvmsc/scoreboard/basic/sequence.h +++ b/tests/tests/uvm-systemc/examples_uvmsc/scoreboard/basic/sequence.h @@ -35,14 +35,14 @@ class sequence : public uvm::uvm_sequence UVM_OBJECT_PARAM_UTILS(sequence); - void pre_body() + void pre_body() override { // raise objection if started as a root sequence if(this->get_starting_phase() != nullptr) this->get_starting_phase()->raise_objection(this); } - void body() + void body() override { REQ* req; RSP* rsp; @@ -68,7 +68,7 @@ class sequence : public uvm::uvm_sequence UVM_INFO(this->get_name(), "Finishing sequence", uvm::UVM_MEDIUM); } - void post_body() + void post_body() override { // drop objection if started as a root sequence if(this->get_starting_phase() != nullptr) diff --git a/tests/tests/uvm-systemc/examples_uvmsc/scoreboard/basic/testbench.h b/tests/tests/uvm-systemc/examples_uvmsc/scoreboard/basic/testbench.h index f85800c2..da69b80a 100644 --- a/tests/tests/uvm-systemc/examples_uvmsc/scoreboard/basic/testbench.h +++ b/tests/tests/uvm-systemc/examples_uvmsc/scoreboard/basic/testbench.h @@ -44,7 +44,7 @@ class testbench : public uvm::uvm_env std::cout << sc_core::sc_time_stamp() << ": constructor " << name << std::endl; } - void build_phase(uvm::uvm_phase& phase) + void build_phase(uvm::uvm_phase& phase) override { std::cout << sc_core::sc_time_stamp() << ": build_phase " << name() << std::endl; @@ -67,7 +67,7 @@ class testbench : public uvm::uvm_env sequence::type_id::get()); } - void connect_phase(uvm::uvm_phase& phase) + void connect_phase(uvm::uvm_phase& phase) override { std::cout << sc_core::sc_time_stamp() << ": connect_phase " << name() << std::endl; diff --git a/tests/tests/uvm-systemc/examples_uvmsc/scoreboard/basic/vip_agent.h b/tests/tests/uvm-systemc/examples_uvmsc/scoreboard/basic/vip_agent.h index 2ee2f26a..9326e26e 100644 --- a/tests/tests/uvm-systemc/examples_uvmsc/scoreboard/basic/vip_agent.h +++ b/tests/tests/uvm-systemc/examples_uvmsc/scoreboard/basic/vip_agent.h @@ -42,7 +42,7 @@ class vip_agent : public uvm::uvm_agent std::cout << sc_core::sc_time_stamp() << ": constructor " << name << std::endl; } - void build_phase(uvm::uvm_phase& phase) + void build_phase(uvm::uvm_phase& phase) override { std::cout << sc_core::sc_time_stamp() << ": build_phase " << name() << std::endl; @@ -66,7 +66,7 @@ class vip_agent : public uvm::uvm_agent } - void connect_phase(uvm::uvm_phase& phase) + void connect_phase(uvm::uvm_phase& phase) override { std::cout << sc_core::sc_time_stamp() << ": connect_phase " << name() << std::endl; diff --git a/tests/tests/uvm-systemc/examples_uvmsc/scoreboard/basic/vip_driver.h b/tests/tests/uvm-systemc/examples_uvmsc/scoreboard/basic/vip_driver.h index 950dd412..bf7dc3b8 100644 --- a/tests/tests/uvm-systemc/examples_uvmsc/scoreboard/basic/vip_driver.h +++ b/tests/tests/uvm-systemc/examples_uvmsc/scoreboard/basic/vip_driver.h @@ -38,7 +38,7 @@ class vip_driver : public uvm::uvm_driver UVM_COMPONENT_PARAM_UTILS(vip_driver); - void build_phase(uvm::uvm_phase& phase) + void build_phase(uvm::uvm_phase& phase) override { std::cout << sc_core::sc_time_stamp() << ": build_phase " << this->name() << std::endl; @@ -48,7 +48,7 @@ class vip_driver : public uvm::uvm_driver UVM_FATAL(this->name(), "Virtual interface not defined! Simulation aborted!"); } - void run_phase(uvm::uvm_phase& phase) + void run_phase(uvm::uvm_phase& phase) override { std::cout << sc_core::sc_time_stamp() << ": " << this->name() << " " << phase.get_name() << "..." << std::endl; diff --git a/tests/tests/uvm-systemc/examples_uvmsc/scoreboard/basic/vip_monitor.h b/tests/tests/uvm-systemc/examples_uvmsc/scoreboard/basic/vip_monitor.h index 49346419..874418aa 100644 --- a/tests/tests/uvm-systemc/examples_uvmsc/scoreboard/basic/vip_monitor.h +++ b/tests/tests/uvm-systemc/examples_uvmsc/scoreboard/basic/vip_monitor.h @@ -45,7 +45,7 @@ class vip_monitor : public uvm::uvm_monitor UVM_COMPONENT_UTILS(vip_monitor); - void build_phase(uvm::uvm_phase& phase) + void build_phase(uvm::uvm_phase& phase) override { std::cout << sc_core::sc_time_stamp() << ": build_phase " << name() << std::endl; @@ -58,7 +58,7 @@ class vip_monitor : public uvm::uvm_monitor uvm::uvm_config_db::get(this, "*", "coverage_enable", coverage_enable); } - void run_phase( uvm::uvm_phase& phase ) + void run_phase( uvm::uvm_phase& phase ) override { vip_packet p; diff --git a/tests/tests/uvm-systemc/examples_uvmsc/scoreboard/basic/vip_packet.h b/tests/tests/uvm-systemc/examples_uvmsc/scoreboard/basic/vip_packet.h index b46b493e..f8f08beb 100644 --- a/tests/tests/uvm-systemc/examples_uvmsc/scoreboard/basic/vip_packet.h +++ b/tests/tests/uvm-systemc/examples_uvmsc/scoreboard/basic/vip_packet.h @@ -33,31 +33,31 @@ class vip_packet : public uvm::uvm_sequence_item vip_packet(const std::string& name = "packet") { data = 17; } vip_packet(int i) { data = i; } - virtual ~vip_packet() { } + ~vip_packet() override { } - virtual void do_print(const uvm::uvm_printer& printer) + void do_print(const uvm::uvm_printer& printer) const override { printer.print_field_int("data", data); } - virtual void do_pack(uvm::uvm_packer& p) const + void do_pack(uvm::uvm_packer& p) const override { p << data; } - virtual void do_unpack(uvm::uvm_packer& p) + void do_unpack(uvm::uvm_packer& p) override { p >> data; } - virtual void do_copy(const uvm::uvm_object& rhs) + void do_copy(const uvm::uvm_object& rhs) override { const vip_packet* drhs = dynamic_cast(&rhs); if (!drhs) { std::cerr << "ERROR in do_copy" << std::endl; return; } data = drhs->data; } - virtual bool do_compare(const uvm_object& rhs) const + bool do_compare(const uvm_object& rhs, const uvm::uvm_comparer*) const override { const vip_packet* drhs = dynamic_cast(&rhs); if (!drhs) { std::cerr << "ERROR in do_compare" << std::endl; return true; } @@ -65,7 +65,7 @@ class vip_packet : public uvm::uvm_sequence_item return true; } - std::string convert2string() const + std::string convert2string() const override { std::ostringstream str; str << " data: " << data; diff --git a/tests/tests/uvm-systemc/examples_uvmsc/scoreboard/basic/xmt_subscriber.h b/tests/tests/uvm-systemc/examples_uvmsc/scoreboard/basic/xmt_subscriber.h index 2fad7d91..96a7cc92 100644 --- a/tests/tests/uvm-systemc/examples_uvmsc/scoreboard/basic/xmt_subscriber.h +++ b/tests/tests/uvm-systemc/examples_uvmsc/scoreboard/basic/xmt_subscriber.h @@ -33,7 +33,7 @@ class xmt_subscriber : public uvm::uvm_subscriber UVM_COMPONENT_UTILS(xmt_subscriber); - virtual void write(const vip_packet& p); + void write(const vip_packet& p) override; }; #endif /* XMT_SUBSCRIBER_H_ */ diff --git a/tests/tests/uvm-systemc/examples_uvmsc/sequence/arbitration/arb_example_seq.h b/tests/tests/uvm-systemc/examples_uvmsc/sequence/arbitration/arb_example_seq.h index b415c9da..7f24aedf 100644 --- a/tests/tests/uvm-systemc/examples_uvmsc/sequence/arbitration/arb_example_seq.h +++ b/tests/tests/uvm-systemc/examples_uvmsc/sequence/arbitration/arb_example_seq.h @@ -40,7 +40,7 @@ class arb_example_seq : public uvm::uvm_sequence arb_seq* seq_2; arb_seq* seq_3; arb_seq* seq_4; - SEQ_ARB_TYPE arb_type; + uvm::SEQ_ARB_TYPE arb_type; arb_example_seq( const std::string name = "arb_example_seq" ) : uvm::uvm_sequence(name) @@ -82,7 +82,7 @@ class arb_example_seq : public uvm::uvm_sequence } } - void body() + void body() override { seq_1 = arb_seq::type_id::create("seq_1"); seq_1->seq_no = 1; diff --git a/tests/tests/uvm-systemc/examples_uvmsc/sequence/arbitration/arb_seq.h b/tests/tests/uvm-systemc/examples_uvmsc/sequence/arbitration/arb_seq.h index fca94e96..0bf71f14 100644 --- a/tests/tests/uvm-systemc/examples_uvmsc/sequence/arbitration/arb_seq.h +++ b/tests/tests/uvm-systemc/examples_uvmsc/sequence/arbitration/arb_seq.h @@ -39,7 +39,7 @@ class arb_seq : public uvm::uvm_sequence : uvm::uvm_sequence(name) {} - void body() + void body() override { seq_arb_item* req; diff --git a/tests/tests/uvm-systemc/examples_uvmsc/sequence/arbitration/arb_test.h b/tests/tests/uvm-systemc/examples_uvmsc/sequence/arbitration/arb_test.h index c98b8ba0..2b09ee1e 100644 --- a/tests/tests/uvm-systemc/examples_uvmsc/sequence/arbitration/arb_test.h +++ b/tests/tests/uvm-systemc/examples_uvmsc/sequence/arbitration/arb_test.h @@ -42,19 +42,19 @@ class arb_test : public uvm::uvm_component arb_test( uvm::uvm_component_name name ) : uvm::uvm_component(name) {} - void build_phase(uvm::uvm_phase& phase) + void build_phase(uvm::uvm_phase& phase) override { m_driver = seq_arb_driver::type_id::create("m_driver", this); m_sequencer = seq_arb_sequencer::type_id::create("m_sequencer", this); m_seq = arb_example_seq::type_id::create("m_seq", this); } - void connect_phase(uvm::uvm_phase& phase) + void connect_phase(uvm::uvm_phase& phase) override { m_driver->seq_item_port.connect(m_sequencer->seq_item_export); } - void run_phase(uvm::uvm_phase& phase) + void run_phase(uvm::uvm_phase& phase) override { int arb_type; diff --git a/tests/tests/uvm-systemc/examples_uvmsc/sequence/arbitration/seq_arb_driver.h b/tests/tests/uvm-systemc/examples_uvmsc/sequence/arbitration/seq_arb_driver.h index 58fc6583..d3745eed 100644 --- a/tests/tests/uvm-systemc/examples_uvmsc/sequence/arbitration/seq_arb_driver.h +++ b/tests/tests/uvm-systemc/examples_uvmsc/sequence/arbitration/seq_arb_driver.h @@ -47,7 +47,7 @@ class seq_arb_driver : public uvm::uvm_driver seq_4 = 0; } - void run_phase(uvm::uvm_phase& phase) + void run_phase(uvm::uvm_phase& phase) override { seq_arb_item req; diff --git a/tests/tests/uvm-systemc/examples_uvmsc/sequence/arbitration/seq_arb_sequencer.h b/tests/tests/uvm-systemc/examples_uvmsc/sequence/arbitration/seq_arb_sequencer.h index 76f1ba25..0506e881 100644 --- a/tests/tests/uvm-systemc/examples_uvmsc/sequence/arbitration/seq_arb_sequencer.h +++ b/tests/tests/uvm-systemc/examples_uvmsc/sequence/arbitration/seq_arb_sequencer.h @@ -43,7 +43,7 @@ class seq_arb_sequencer : public uvm_sequencer // It returns the last item in the sequence queue rather than the first - int user_priority_arbitration(std::vector avail_sequences) + int user_priority_arbitration(std::vector avail_sequences) override { int end_index; end_index = avail_sequences.size() - 1; diff --git a/tests/tests/uvm-systemc/examples_uvmsc/sequence/basic_read_write_sequence_tlm1/bus_trans.h b/tests/tests/uvm-systemc/examples_uvmsc/sequence/basic_read_write_sequence_tlm1/bus_trans.h index d9804423..0915fd8b 100644 --- a/tests/tests/uvm-systemc/examples_uvmsc/sequence/basic_read_write_sequence_tlm1/bus_trans.h +++ b/tests/tests/uvm-systemc/examples_uvmsc/sequence/basic_read_write_sequence_tlm1/bus_trans.h @@ -44,11 +44,11 @@ class bus_trans : public uvm::uvm_sequence_item op = BUS_READ; } - ~bus_trans() {} + ~bus_trans() override {} UVM_OBJECT_UTILS(bus_trans); - virtual void do_copy( const uvm::uvm_object& rhs ) + void do_copy( const uvm::uvm_object& rhs ) override { const bus_trans* rhs_ = dynamic_cast(&rhs); if(rhs_ == nullptr) @@ -61,7 +61,7 @@ class bus_trans : public uvm::uvm_sequence_item op = rhs_->op; } - virtual bool do_compare( const uvm::uvm_object& rhs, const uvm::uvm_comparer* comparer ) + bool do_compare( const uvm::uvm_object& rhs, const uvm::uvm_comparer* comparer ) const override { const bus_trans* rhs_; rhs_ = dynamic_cast(&rhs); @@ -71,14 +71,14 @@ class bus_trans : public uvm::uvm_sequence_item return ((op == rhs_->op) && (addr == rhs_->addr) && (data == rhs_->data)); } - void do_print( const uvm::uvm_printer& printer ) const + void do_print( const uvm::uvm_printer& printer ) const override { printer.print_string("op", (op ? "BUS_WRITE":"BUS_READ")); printer.print_field_int("addr", addr); printer.print_field_int("data", data); } - std::string convert2string() + std::string convert2string() const override { std::ostringstream str; str << "op " << (op ? "BUS_WRITE":"BUS_READ"); @@ -102,7 +102,7 @@ class bus_req : public bus_trans { public: bus_req( const std::string& name = "bus_req_seq_item" ) : bus_trans(name) {} - ~bus_req() {} + ~bus_req() override {} UVM_OBJECT_UTILS(bus_req); @@ -119,11 +119,11 @@ class bus_rsp : public bus_trans status = STATUS_NOT_OK; } - ~bus_rsp() {} + ~bus_rsp() override {} UVM_OBJECT_UTILS(bus_rsp); - virtual void do_copy( const uvm_object& rhs ) + void do_copy( const uvm_object& rhs ) override { const bus_rsp* rhs_ = dynamic_cast(&rhs); if(rhs_ == nullptr) @@ -133,7 +133,7 @@ class bus_rsp : public bus_trans status = rhs_->status; } - std::string convert2string() + std::string convert2string() const override { std::string statusstr; diff --git a/tests/tests/uvm-systemc/examples_uvmsc/sequence/basic_read_write_sequence_tlm1/env.h b/tests/tests/uvm-systemc/examples_uvmsc/sequence/basic_read_write_sequence_tlm1/env.h index d8389b26..fc6e9c92 100644 --- a/tests/tests/uvm-systemc/examples_uvmsc/sequence/basic_read_write_sequence_tlm1/env.h +++ b/tests/tests/uvm-systemc/examples_uvmsc/sequence/basic_read_write_sequence_tlm1/env.h @@ -46,7 +46,7 @@ class env: public uvm::uvm_env env( uvm::uvm_component_name name ) : uvm::uvm_env( name ), sqr(0), drv(0) {} - void build_phase(uvm::uvm_phase& phase) + void build_phase(uvm::uvm_phase& phase) override { uvm::uvm_env::build_phase(phase); @@ -61,12 +61,12 @@ class env: public uvm::uvm_env } } - void connect_phase(uvm::uvm_phase& phase) + void connect_phase(uvm::uvm_phase& phase) override { drv->seq_item_port(sqr->seq_item_export); } - void run_phase(uvm::uvm_phase& phase) + void run_phase(uvm::uvm_phase& phase) override { phase.raise_objection(this); SC_FORK @@ -89,7 +89,7 @@ class env: public uvm::uvm_env sequence_a[n]->start(sqr, nullptr); } - virtual ~env() + ~env() override { for (int i = 0; i < NUM_SEQS; i++) { diff --git a/tests/tests/uvm-systemc/examples_uvmsc/sequence/basic_read_write_sequence_tlm1/my_driver.h b/tests/tests/uvm-systemc/examples_uvmsc/sequence/basic_read_write_sequence_tlm1/my_driver.h index c52073f4..2913560f 100644 --- a/tests/tests/uvm-systemc/examples_uvmsc/sequence/basic_read_write_sequence_tlm1/my_driver.h +++ b/tests/tests/uvm-systemc/examples_uvmsc/sequence/basic_read_write_sequence_tlm1/my_driver.h @@ -34,7 +34,7 @@ class my_driver : public uvm::uvm_driver UVM_COMPONENT_PARAM_UTILS(my_driver); - void run_phase(uvm::uvm_phase& phase) + void run_phase(uvm::uvm_phase& phase) override { REQ req; RSP rsp; diff --git a/tests/tests/uvm-systemc/examples_uvmsc/sequence/basic_read_write_sequence_tlm1/sequenceA.h b/tests/tests/uvm-systemc/examples_uvmsc/sequence/basic_read_write_sequence_tlm1/sequenceA.h index 3dcb1f53..9c2e5194 100644 --- a/tests/tests/uvm-systemc/examples_uvmsc/sequence/basic_read_write_sequence_tlm1/sequenceA.h +++ b/tests/tests/uvm-systemc/examples_uvmsc/sequence/basic_read_write_sequence_tlm1/sequenceA.h @@ -39,7 +39,7 @@ class sequenceA : public uvm::uvm_sequence UVM_OBJECT_PARAM_UTILS(sequenceA); - void body() + void body() override { std::string prstring; REQ* req; diff --git a/tests/tests/uvm-systemc/examples_uvmsc/sequence/basic_read_write_sequence_try/bus_trans.h b/tests/tests/uvm-systemc/examples_uvmsc/sequence/basic_read_write_sequence_try/bus_trans.h index a68be3dd..cf0741f7 100644 --- a/tests/tests/uvm-systemc/examples_uvmsc/sequence/basic_read_write_sequence_try/bus_trans.h +++ b/tests/tests/uvm-systemc/examples_uvmsc/sequence/basic_read_write_sequence_try/bus_trans.h @@ -44,11 +44,11 @@ class bus_trans : public uvm::uvm_sequence_item op = BUS_READ; } - ~bus_trans() {} + ~bus_trans() override {} UVM_OBJECT_UTILS(bus_trans); - virtual void do_copy( const uvm::uvm_object& rhs ) + void do_copy( const uvm::uvm_object& rhs ) override { const bus_trans* rhs_ = dynamic_cast(&rhs); if(rhs_ == nullptr) @@ -61,7 +61,7 @@ class bus_trans : public uvm::uvm_sequence_item op = rhs_->op; } - virtual bool do_compare( const uvm::uvm_object& rhs, const uvm::uvm_comparer* comparer ) + bool do_compare( const uvm::uvm_object& rhs, const uvm::uvm_comparer* comparer ) const override { const bus_trans* rhs_ = dynamic_cast(&rhs); if(rhs_ == nullptr) @@ -70,14 +70,14 @@ class bus_trans : public uvm::uvm_sequence_item return ((op == rhs_->op) && (addr == rhs_->addr) && (data == rhs_->data)); } - void do_print( const uvm::uvm_printer& printer ) const + void do_print( const uvm::uvm_printer& printer ) const override { printer.print_string("op", (op ? "BUS_WRITE":"BUS_READ")); printer.print_field_int("addr", addr); printer.print_field_int("data", data); } - std::string convert2string() + std::string convert2string() const override { std::ostringstream str; str << "op " << (op ? "BUS_WRITE":"BUS_READ"); @@ -101,7 +101,7 @@ class bus_req : public bus_trans { public: bus_req( const std::string& name = "bus_req_seq_item" ) : bus_trans(name) {} - ~bus_req() {} + ~bus_req() override {} UVM_OBJECT_UTILS(bus_req); @@ -118,11 +118,11 @@ class bus_rsp : public bus_trans status = STATUS_NOT_OK; } - ~bus_rsp() {} + ~bus_rsp() override {} UVM_OBJECT_UTILS(bus_rsp); - virtual void do_copy( const uvm::uvm_object& rhs ) + void do_copy( const uvm::uvm_object& rhs ) override { const bus_rsp* rhs_ = dynamic_cast(&rhs); if(rhs_ == nullptr) @@ -132,7 +132,7 @@ class bus_rsp : public bus_trans status = rhs_->status; } - std::string convert2string() + std::string convert2string() const override { std::string statusstr; diff --git a/tests/tests/uvm-systemc/examples_uvmsc/sequence/basic_read_write_sequence_try/env.h b/tests/tests/uvm-systemc/examples_uvmsc/sequence/basic_read_write_sequence_try/env.h index d8389b26..fc6e9c92 100644 --- a/tests/tests/uvm-systemc/examples_uvmsc/sequence/basic_read_write_sequence_try/env.h +++ b/tests/tests/uvm-systemc/examples_uvmsc/sequence/basic_read_write_sequence_try/env.h @@ -46,7 +46,7 @@ class env: public uvm::uvm_env env( uvm::uvm_component_name name ) : uvm::uvm_env( name ), sqr(0), drv(0) {} - void build_phase(uvm::uvm_phase& phase) + void build_phase(uvm::uvm_phase& phase) override { uvm::uvm_env::build_phase(phase); @@ -61,12 +61,12 @@ class env: public uvm::uvm_env } } - void connect_phase(uvm::uvm_phase& phase) + void connect_phase(uvm::uvm_phase& phase) override { drv->seq_item_port(sqr->seq_item_export); } - void run_phase(uvm::uvm_phase& phase) + void run_phase(uvm::uvm_phase& phase) override { phase.raise_objection(this); SC_FORK @@ -89,7 +89,7 @@ class env: public uvm::uvm_env sequence_a[n]->start(sqr, nullptr); } - virtual ~env() + ~env() override { for (int i = 0; i < NUM_SEQS; i++) { diff --git a/tests/tests/uvm-systemc/examples_uvmsc/sequence/basic_read_write_sequence_try/my_driver.h b/tests/tests/uvm-systemc/examples_uvmsc/sequence/basic_read_write_sequence_try/my_driver.h index ca3c304b..3371ecc3 100644 --- a/tests/tests/uvm-systemc/examples_uvmsc/sequence/basic_read_write_sequence_try/my_driver.h +++ b/tests/tests/uvm-systemc/examples_uvmsc/sequence/basic_read_write_sequence_try/my_driver.h @@ -34,7 +34,7 @@ class my_driver : public uvm::uvm_driver UVM_COMPONENT_PARAM_UTILS(my_driver); - void run_phase(uvm::uvm_phase& phase) + void run_phase(uvm::uvm_phase& phase) override { REQ req; RSP rsp; diff --git a/tests/tests/uvm-systemc/examples_uvmsc/sequence/basic_read_write_sequence_try/sequenceA.h b/tests/tests/uvm-systemc/examples_uvmsc/sequence/basic_read_write_sequence_try/sequenceA.h index b68f0158..6ed5c622 100644 --- a/tests/tests/uvm-systemc/examples_uvmsc/sequence/basic_read_write_sequence_try/sequenceA.h +++ b/tests/tests/uvm-systemc/examples_uvmsc/sequence/basic_read_write_sequence_try/sequenceA.h @@ -39,7 +39,7 @@ class sequenceA : public uvm::uvm_sequence UVM_OBJECT_PARAM_UTILS(sequenceA); - void body() + void body() override { std::string prstring; REQ* req; diff --git a/tests/tests/uvm-systemc/examples_uvmsc/sequence/basic_read_write_start_item/bus_trans.h b/tests/tests/uvm-systemc/examples_uvmsc/sequence/basic_read_write_start_item/bus_trans.h index f9c0573c..ddeb2ae9 100644 --- a/tests/tests/uvm-systemc/examples_uvmsc/sequence/basic_read_write_start_item/bus_trans.h +++ b/tests/tests/uvm-systemc/examples_uvmsc/sequence/basic_read_write_start_item/bus_trans.h @@ -42,11 +42,11 @@ class bus_trans : public uvm::uvm_sequence_item op = BUS_READ; } - ~bus_trans() {} + ~bus_trans() override {} UVM_OBJECT_UTILS(bus_trans); - virtual void do_copy( const uvm::uvm_object& rhs ) + void do_copy( const uvm::uvm_object& rhs ) override { const bus_trans* rhs_ = dynamic_cast(&rhs); if(rhs_ == nullptr) @@ -59,7 +59,7 @@ class bus_trans : public uvm::uvm_sequence_item op = rhs_->op; } - virtual bool do_compare( const uvm::uvm_object& rhs, const uvm::uvm_comparer* comparer ) + bool do_compare( const uvm::uvm_object& rhs, const uvm::uvm_comparer* comparer ) const override { const bus_trans* rhs_ = dynamic_cast(&rhs); if(rhs_ == nullptr) @@ -68,19 +68,19 @@ class bus_trans : public uvm::uvm_sequence_item return ((op == rhs_->op) && (addr == rhs_->addr) && (data == rhs_->data)); } - void do_print( const uvm::uvm_printer& printer ) const + void do_print( const uvm::uvm_printer& printer ) const override { printer.print_string("op", (op ? "BUS_WRITE":"BUS_READ")); printer.print_field_int("addr", addr); printer.print_field_int("data", data); } - std::string convert2string() + std::string convert2string() const override { std::ostringstream str; str << "op " << (op ? "BUS_WRITE":"BUS_READ"); - str << " addr: 0x" << hex << std::setw(3) << std::setfill('0') << addr; - str << " data: 0x" << hex << std::setw(3) << std::setfill('0') << data; + str << " addr: 0x" << std::hex << std::setw(3) << std::setfill('0') << addr; + str << " data: 0x" << std::hex << std::setw(3) << std::setfill('0') << data; return str.str(); } @@ -99,7 +99,7 @@ class bus_req : public bus_trans { public: bus_req( const std::string& name = "bus_req_seq_item" ) : bus_trans(name) {} - ~bus_req() {} + ~bus_req() override {} UVM_OBJECT_UTILS(bus_req); @@ -116,11 +116,11 @@ class bus_rsp : public bus_trans status = STATUS_NOT_OK; } - ~bus_rsp() {} + ~bus_rsp() override {} UVM_OBJECT_UTILS(bus_rsp); - virtual void do_copy( const uvm::uvm_object& rhs ) + void do_copy( const uvm::uvm_object& rhs ) override { const bus_rsp* rhs_ = dynamic_cast(&rhs); if(rhs_ == nullptr) @@ -130,7 +130,7 @@ class bus_rsp : public bus_trans status = rhs_->status; } - std::string convert2string() + std::string convert2string() const override { std::string statusstr; diff --git a/tests/tests/uvm-systemc/examples_uvmsc/sequence/basic_read_write_start_item/env.h b/tests/tests/uvm-systemc/examples_uvmsc/sequence/basic_read_write_start_item/env.h index d8389b26..fc6e9c92 100644 --- a/tests/tests/uvm-systemc/examples_uvmsc/sequence/basic_read_write_start_item/env.h +++ b/tests/tests/uvm-systemc/examples_uvmsc/sequence/basic_read_write_start_item/env.h @@ -46,7 +46,7 @@ class env: public uvm::uvm_env env( uvm::uvm_component_name name ) : uvm::uvm_env( name ), sqr(0), drv(0) {} - void build_phase(uvm::uvm_phase& phase) + void build_phase(uvm::uvm_phase& phase) override { uvm::uvm_env::build_phase(phase); @@ -61,12 +61,12 @@ class env: public uvm::uvm_env } } - void connect_phase(uvm::uvm_phase& phase) + void connect_phase(uvm::uvm_phase& phase) override { drv->seq_item_port(sqr->seq_item_export); } - void run_phase(uvm::uvm_phase& phase) + void run_phase(uvm::uvm_phase& phase) override { phase.raise_objection(this); SC_FORK @@ -89,7 +89,7 @@ class env: public uvm::uvm_env sequence_a[n]->start(sqr, nullptr); } - virtual ~env() + ~env() override { for (int i = 0; i < NUM_SEQS; i++) { diff --git a/tests/tests/uvm-systemc/examples_uvmsc/sequence/basic_read_write_start_item/my_driver.h b/tests/tests/uvm-systemc/examples_uvmsc/sequence/basic_read_write_start_item/my_driver.h index d529d5dd..7298e42f 100644 --- a/tests/tests/uvm-systemc/examples_uvmsc/sequence/basic_read_write_start_item/my_driver.h +++ b/tests/tests/uvm-systemc/examples_uvmsc/sequence/basic_read_write_start_item/my_driver.h @@ -34,7 +34,7 @@ class my_driver : public uvm::uvm_driver UVM_COMPONENT_PARAM_UTILS(my_driver); - void run_phase(uvm::uvm_phase& phase) + void run_phase(uvm::uvm_phase& phase) override { REQ req; RSP rsp; diff --git a/tests/tests/uvm-systemc/examples_uvmsc/sequence/basic_read_write_start_item/sequenceA.h b/tests/tests/uvm-systemc/examples_uvmsc/sequence/basic_read_write_start_item/sequenceA.h index 0fd659ba..1ebeaff8 100644 --- a/tests/tests/uvm-systemc/examples_uvmsc/sequence/basic_read_write_start_item/sequenceA.h +++ b/tests/tests/uvm-systemc/examples_uvmsc/sequence/basic_read_write_start_item/sequenceA.h @@ -39,7 +39,7 @@ class sequenceA : public uvm::uvm_sequence UVM_OBJECT_PARAM_UTILS(sequenceA); - void body() + void body() override { std::string prstring; REQ* req; diff --git a/tests/tests/uvm-systemc/examples_uvmsc/sequence/sequence_lock_grab/arb_example_seq.h b/tests/tests/uvm-systemc/examples_uvmsc/sequence/sequence_lock_grab/arb_example_seq.h index 9c2d20c0..b4126219 100644 --- a/tests/tests/uvm-systemc/examples_uvmsc/sequence/sequence_lock_grab/arb_example_seq.h +++ b/tests/tests/uvm-systemc/examples_uvmsc/sequence/sequence_lock_grab/arb_example_seq.h @@ -120,7 +120,7 @@ class arb_example_seq : public uvm::uvm_sequence seq_5g->start(m_sequencer, this, 50); } - void body() + void body() override { seq_1 = arb_seq::type_id::create("seq_1"); seq_1->seq_no = 1; diff --git a/tests/tests/uvm-systemc/examples_uvmsc/sequence/sequence_lock_grab/arb_seq.h b/tests/tests/uvm-systemc/examples_uvmsc/sequence/sequence_lock_grab/arb_seq.h index a2a9ce9b..7182eada 100644 --- a/tests/tests/uvm-systemc/examples_uvmsc/sequence/sequence_lock_grab/arb_seq.h +++ b/tests/tests/uvm-systemc/examples_uvmsc/sequence/sequence_lock_grab/arb_seq.h @@ -39,7 +39,7 @@ class arb_seq : public uvm::uvm_sequence : uvm::uvm_sequence(name) {} - void body() + void body() override { seq_arb_item* req; diff --git a/tests/tests/uvm-systemc/examples_uvmsc/sequence/sequence_lock_grab/arb_test.h b/tests/tests/uvm-systemc/examples_uvmsc/sequence/sequence_lock_grab/arb_test.h index acd426f4..a75489d4 100644 --- a/tests/tests/uvm-systemc/examples_uvmsc/sequence/sequence_lock_grab/arb_test.h +++ b/tests/tests/uvm-systemc/examples_uvmsc/sequence/sequence_lock_grab/arb_test.h @@ -42,19 +42,19 @@ class arb_test : public uvm::uvm_component arb_test( uvm::uvm_component_name name ) : uvm::uvm_component(name) {} - void build_phase(uvm::uvm_phase& phase) + void build_phase(uvm::uvm_phase& phase) override { m_driver = seq_arb_driver::type_id::create("m_driver", this); m_sequencer = seq_arb_sequencer::type_id::create("m_sequencer", this); m_seq = arb_example_seq::type_id::create("m_seq", this); } - void connect_phase(uvm::uvm_phase& phase) + void connect_phase(uvm::uvm_phase& phase) override { m_driver->seq_item_port.bind(m_sequencer->seq_item_export); } - void run_phase(uvm::uvm_phase& phase) + void run_phase(uvm::uvm_phase& phase) override { int arb_type; diff --git a/tests/tests/uvm-systemc/examples_uvmsc/sequence/sequence_lock_grab/grab_seq.h b/tests/tests/uvm-systemc/examples_uvmsc/sequence/sequence_lock_grab/grab_seq.h index 96b5637e..5f2b8251 100644 --- a/tests/tests/uvm-systemc/examples_uvmsc/sequence/sequence_lock_grab/grab_seq.h +++ b/tests/tests/uvm-systemc/examples_uvmsc/sequence/sequence_lock_grab/grab_seq.h @@ -39,7 +39,7 @@ class grab_seq : public uvm::uvm_sequence : uvm::uvm_sequence(name) {} - void body() + void body() override { seq_arb_item* req; diff --git a/tests/tests/uvm-systemc/examples_uvmsc/sequence/sequence_lock_grab/lock_seq.h b/tests/tests/uvm-systemc/examples_uvmsc/sequence/sequence_lock_grab/lock_seq.h index 53ad9e17..7817267c 100644 --- a/tests/tests/uvm-systemc/examples_uvmsc/sequence/sequence_lock_grab/lock_seq.h +++ b/tests/tests/uvm-systemc/examples_uvmsc/sequence/sequence_lock_grab/lock_seq.h @@ -39,7 +39,7 @@ class lock_seq : public uvm::uvm_sequence : uvm::uvm_sequence(name) {} - void body() + void body() override { seq_arb_item* req; diff --git a/tests/tests/uvm-systemc/examples_uvmsc/sequence/sequence_lock_grab/seq_arb_driver.h b/tests/tests/uvm-systemc/examples_uvmsc/sequence/sequence_lock_grab/seq_arb_driver.h index c8a3973a..afb7f75b 100644 --- a/tests/tests/uvm-systemc/examples_uvmsc/sequence/sequence_lock_grab/seq_arb_driver.h +++ b/tests/tests/uvm-systemc/examples_uvmsc/sequence/sequence_lock_grab/seq_arb_driver.h @@ -50,7 +50,7 @@ class seq_arb_driver : public uvm::uvm_driver lock_seq = 0; } - void run_phase(uvm::uvm_phase& phase) + void run_phase(uvm::uvm_phase& phase) override { seq_arb_item req; diff --git a/tests/tests/uvm-systemc/examples_uvmsc/sequence/sequence_lock_grab/seq_arb_sequencer.h b/tests/tests/uvm-systemc/examples_uvmsc/sequence/sequence_lock_grab/seq_arb_sequencer.h index 56d34bf4..47ec1100 100644 --- a/tests/tests/uvm-systemc/examples_uvmsc/sequence/sequence_lock_grab/seq_arb_sequencer.h +++ b/tests/tests/uvm-systemc/examples_uvmsc/sequence/sequence_lock_grab/seq_arb_sequencer.h @@ -41,7 +41,7 @@ class seq_arb_sequencer : public uvm::uvm_sequencer // It returns the last item in the sequence queue rather than the first - int user_priority_arbitration(std::vector avail_sequences) + int user_priority_arbitration(std::vector avail_sequences) override { int end_index; end_index = avail_sequences.size() - 1; diff --git a/tests/tests/uvm-systemc/unit_tests/00basic/00hello/test.cpp b/tests/tests/uvm-systemc/unit_tests/00basic/00hello/test.cpp index d25dfd89..1f5b69b9 100644 --- a/tests/tests/uvm-systemc/unit_tests/00basic/00hello/test.cpp +++ b/tests/tests/uvm-systemc/unit_tests/00basic/00hello/test.cpp @@ -32,7 +32,7 @@ class test : public uvm_test test( uvm_component_name name ) : uvm_test(name) {} - virtual void report_phase( uvm_phase& phase ) + void report_phase( uvm_phase& phase ) override { std::cout << "** UVM TEST PASSED **" << std::endl; } diff --git a/tests/tests/uvm-systemc/unit_tests/00basic/99fixes/01global_comparer/test.cpp b/tests/tests/uvm-systemc/unit_tests/00basic/99fixes/01global_comparer/test.cpp index e29f6880..8bb4db2b 100644 --- a/tests/tests/uvm-systemc/unit_tests/00basic/99fixes/01global_comparer/test.cpp +++ b/tests/tests/uvm-systemc/unit_tests/00basic/99fixes/01global_comparer/test.cpp @@ -13,11 +13,11 @@ class seq_item : public uvm::uvm_sequence_item { UVM_OBJECT_UTILS(seq_item); - void do_print(const uvm::uvm_printer& printer) const { + void do_print(const uvm::uvm_printer& printer) const override { printer.print_field_int("value", value); } - bool do_compare( const uvm_object& rhs, const uvm_comparer* comparer) const { + bool do_compare( const uvm_object& rhs, const uvm_comparer* comparer) const override { bool out = true; const seq_item* rhs_ = dynamic_cast(&rhs); diff --git a/tests/tests/uvm-systemc/unit_tests/01report/02server/01set_get/test.cpp b/tests/tests/uvm-systemc/unit_tests/01report/02server/01set_get/test.cpp index eb5994ab..bce08ec8 100644 --- a/tests/tests/uvm-systemc/unit_tests/01report/02server/01set_get/test.cpp +++ b/tests/tests/uvm-systemc/unit_tests/01report/02server/01set_get/test.cpp @@ -36,7 +36,7 @@ class my_server : public uvm_default_report_server my_server( uvm_object_name name ) : uvm_default_report_server(name) {} - virtual std::string compose_report_message( uvm_report_message* report_message, const std::string& report_object_name = "" ) const + std::string compose_report_message( uvm_report_message* report_message, const std::string& report_object_name = "" ) const override { cnt++; return "MY_SERVER: " + uvm_default_report_server::compose_report_message(report_message, report_object_name); @@ -44,7 +44,7 @@ class my_server : public uvm_default_report_server // to make sure this is being executed, have it display a good result // (despite the dummy error thrown earlier) - virtual void report_summarize( UVM_FILE file = 0 ) const + void report_summarize( UVM_FILE file = 0 ) const override { if(success) { @@ -73,7 +73,7 @@ class test : public uvm_test test( uvm_component_name name ) : uvm_test(name) {} - virtual void run_phase( uvm_phase& phase ) + void run_phase( uvm_phase& phase ) override { my_server* serv = new my_server("my_server"); @@ -90,7 +90,7 @@ class test : public uvm_test UVM_INFO("MSG2", "Another message again", UVM_LOW); } - virtual void report_phase( uvm_phase& phase ) + void report_phase( uvm_phase& phase ) override { uvm_report_server* serv = uvm_report_server::get_server(); diff --git a/tests/tests/uvm-systemc/unit_tests/01report/02server/02compat/test.cpp b/tests/tests/uvm-systemc/unit_tests/01report/02server/02compat/test.cpp index 094cbd64..a9079215 100644 --- a/tests/tests/uvm-systemc/unit_tests/01report/02server/02compat/test.cpp +++ b/tests/tests/uvm-systemc/unit_tests/01report/02server/02compat/test.cpp @@ -34,7 +34,7 @@ class my_server : public uvm_default_report_server my_server( uvm_object_name name ) : uvm_default_report_server(name) {} - virtual std::string compose_report_message( uvm_report_message* report_message, const std::string& report_object_name = "" ) const + std::string compose_report_message( uvm_report_message* report_message, const std::string& report_object_name = "" ) const override { cnt++; return "MY_SERVER: " + uvm_default_report_server::compose_report_message(report_message, report_object_name); @@ -50,7 +50,7 @@ class test : public uvm_test test( uvm_component_name name ) : uvm_test(name) {} - virtual void run_phase( uvm_phase& phase ) + void run_phase( uvm_phase& phase ) override { my_server* serv = new my_server("my_server"); @@ -67,7 +67,7 @@ class test : public uvm_test UVM_INFO("MSG2", "Another message again", UVM_LOW); } - virtual void report_phase( uvm_phase& phase ) + void report_phase( uvm_phase& phase ) override { uvm_report_server* serv = uvm_report_server::get_server(); diff --git a/tests/tests/uvm-systemc/unit_tests/01report/10catcher/02simple/test.cpp b/tests/tests/uvm-systemc/unit_tests/01report/10catcher/02simple/test.cpp index 0cac3701..fc96515e 100644 --- a/tests/tests/uvm-systemc/unit_tests/01report/10catcher/02simple/test.cpp +++ b/tests/tests/uvm-systemc/unit_tests/01report/10catcher/02simple/test.cpp @@ -30,7 +30,7 @@ class my_catcher : public uvm_report_catcher static int seen; - virtual action_e do_catch() + action_e do_catch() override { std::cout << "Caught a message..." << std::endl; seen++; @@ -50,7 +50,7 @@ class test : public uvm_test test( uvm_component_name name) : uvm_test(name), pass(false) {} - virtual void run_phase(uvm_phase& phase) + void run_phase(uvm_phase& phase) override { my_catcher ctchr; diff --git a/tests/tests/uvm-systemc/unit_tests/01report/10catcher/03regnull/test.cpp b/tests/tests/uvm-systemc/unit_tests/01report/10catcher/03regnull/test.cpp index 77367d01..8b4c7c9e 100644 --- a/tests/tests/uvm-systemc/unit_tests/01report/10catcher/03regnull/test.cpp +++ b/tests/tests/uvm-systemc/unit_tests/01report/10catcher/03regnull/test.cpp @@ -27,7 +27,7 @@ class my_catcher : public uvm_report_catcher { public: - virtual action_e do_catch() + action_e do_catch() override { std::cout << "Caught a message..." << std::endl; return CAUGHT; @@ -45,7 +45,7 @@ class test : public uvm_test test( uvm_component_name name) : uvm_test(name), ctchr(nullptr) {} - virtual void run_phase( uvm_phase& phase ) + void run_phase( uvm_phase& phase ) override { phase.raise_objection(this); diff --git a/tests/tests/uvm-systemc/unit_tests/01report/20severity/01allovr/test.cpp b/tests/tests/uvm-systemc/unit_tests/01report/20severity/01allovr/test.cpp index 417f8259..9c8e0749 100644 --- a/tests/tests/uvm-systemc/unit_tests/01report/20severity/01allovr/test.cpp +++ b/tests/tests/uvm-systemc/unit_tests/01report/20severity/01allovr/test.cpp @@ -42,7 +42,7 @@ class my_catcher : public uvm_report_catcher { public: - virtual action_e do_catch() + action_e do_catch() override { uvm_coreservice_t* cs = uvm_coreservice_t::get(); @@ -109,7 +109,7 @@ class test : public uvm_test my_catcher ctchr; - virtual void run_phase(uvm_phase& phase) + void run_phase(uvm_phase& phase) override { phase.raise_objection(this); diff --git a/tests/tests/uvm-systemc/unit_tests/01report/20severity/02idspec/test.cpp b/tests/tests/uvm-systemc/unit_tests/01report/20severity/02idspec/test.cpp index e400a784..8e18fda2 100644 --- a/tests/tests/uvm-systemc/unit_tests/01report/20severity/02idspec/test.cpp +++ b/tests/tests/uvm-systemc/unit_tests/01report/20severity/02idspec/test.cpp @@ -42,7 +42,7 @@ class my_catcher : public uvm_report_catcher map sev_map; sev_id_pair p; - virtual action_e do_catch() + action_e do_catch() override { string s_str; string exp_sev; @@ -83,7 +83,7 @@ class test : public uvm_test my_catcher ctchr; - virtual void run_phase(uvm_phase& phase) + void run_phase(uvm_phase& phase) override { phase.raise_objection(this); uvm_report_cb::add(nullptr, &ctchr); @@ -113,7 +113,7 @@ class test : public uvm_test phase.drop_objection(this); } - virtual void report_phase(uvm_phase& phase) + void report_phase(uvm_phase& phase) override { if(ctchr.sev_map.size() != 32) { diff --git a/tests/tests/uvm-systemc/unit_tests/01report/20severity/03idspec_generic/test.cpp b/tests/tests/uvm-systemc/unit_tests/01report/20severity/03idspec_generic/test.cpp index 4f47aa31..7b242216 100644 --- a/tests/tests/uvm-systemc/unit_tests/01report/20severity/03idspec_generic/test.cpp +++ b/tests/tests/uvm-systemc/unit_tests/01report/20severity/03idspec_generic/test.cpp @@ -52,7 +52,7 @@ class my_catcher : public uvm_report_catcher map sev_map; sev_id_pair p; - virtual action_e do_catch() + action_e do_catch() override { string s_str; string exp_sev; @@ -93,7 +93,7 @@ class test : public uvm_test my_catcher ctchr; - virtual void run_phase(uvm_phase& phase) + void run_phase(uvm_phase& phase) override { phase.raise_objection(this); uvm_report_cb::add(nullptr, &ctchr); @@ -123,7 +123,7 @@ class test : public uvm_test phase.drop_objection(this); } - virtual void report_phase(uvm_phase& phase) + void report_phase(uvm_phase& phase) override { if(ctchr.sev_map.size() != 32) { diff --git a/tests/tests/uvm-systemc/unit_tests/01report/30handler/10print/test.cpp b/tests/tests/uvm-systemc/unit_tests/01report/30handler/10print/test.cpp index 0651c75e..a7324d29 100644 --- a/tests/tests/uvm-systemc/unit_tests/01report/30handler/10print/test.cpp +++ b/tests/tests/uvm-systemc/unit_tests/01report/30handler/10print/test.cpp @@ -34,7 +34,7 @@ class test : public uvm_test test( uvm_component_name name ) : uvm_test(name) {} - void build_phase(uvm_phase& phase) + void build_phase(uvm_phase& phase) override { set_report_verbosity_level(UVM_FULL); @@ -72,7 +72,7 @@ class test : public uvm_test set_report_severity_id_file(UVM_WARNING, "ID207", &std::cout); // was 300500 = 0x495D4 } - void run_phase(uvm_phase& phase) + void run_phase(uvm_phase& phase) override { uvm_report_handler* l_rh = get_report_handler(); diff --git a/tests/tests/uvm-systemc/unit_tests/11tlm/10tlm1/blocking_put_imp/test.cpp b/tests/tests/uvm-systemc/unit_tests/11tlm/10tlm1/blocking_put_imp/test.cpp index a0e6f4ba..2244e2eb 100644 --- a/tests/tests/uvm-systemc/unit_tests/11tlm/10tlm1/blocking_put_imp/test.cpp +++ b/tests/tests/uvm-systemc/unit_tests/11tlm/10tlm1/blocking_put_imp/test.cpp @@ -37,7 +37,7 @@ class producer : public uvm::uvm_component put_port("put_port") {} - void run_phase( uvm::uvm_phase& phase ) + void run_phase( uvm::uvm_phase& phase ) override { int i = 3; std::ostringstream msg; @@ -89,7 +89,7 @@ class env : public uvm::uvm_env c("consumer") {} - void connect_phase( uvm::uvm_phase& phase ) + void connect_phase( uvm::uvm_phase& phase ) override { uvm::uvm_env::connect_phase(phase); p.put_port.connect(c.put_imp); diff --git a/tests/tests/uvm-systemc/unit_tests/11tlm/10tlm1/nonblocking_put_imp/test.cpp b/tests/tests/uvm-systemc/unit_tests/11tlm/10tlm1/nonblocking_put_imp/test.cpp index 5e1f93a9..585f5d37 100644 --- a/tests/tests/uvm-systemc/unit_tests/11tlm/10tlm1/nonblocking_put_imp/test.cpp +++ b/tests/tests/uvm-systemc/unit_tests/11tlm/10tlm1/nonblocking_put_imp/test.cpp @@ -37,7 +37,7 @@ class producer : public uvm::uvm_component nb_put_port("nb_put_port") {} - void run_phase( uvm::uvm_phase& phase ) + void run_phase( uvm::uvm_phase& phase ) override { int i = 3; std::ostringstream msg; @@ -95,7 +95,7 @@ class env : public uvm::uvm_env c("consumer") {} - void connect_phase( uvm::uvm_phase& phase ) + void connect_phase( uvm::uvm_phase& phase ) override { uvm::uvm_env::connect_phase(phase); p.nb_put_port.connect(c.nb_put_imp); diff --git a/tests/tests/uvm-systemc/unit_tests/40phasing/01predef/test.cpp b/tests/tests/uvm-systemc/unit_tests/40phasing/01predef/test.cpp index c02a0679..22472693 100644 --- a/tests/tests/uvm-systemc/unit_tests/40phasing/01predef/test.cpp +++ b/tests/tests/uvm-systemc/unit_tests/40phasing/01predef/test.cpp @@ -69,32 +69,32 @@ class test : public uvm_test : uvm_test(name), n_ph(0) {} - void build_phase(uvm::uvm_phase& phase) + void build_phase(uvm::uvm_phase& phase) override { check_the_phase("", "build"); } - void connect_phase(uvm::uvm_phase& phase) + void connect_phase(uvm::uvm_phase& phase) override { check_the_phase("build", "connect"); } - void end_of_elaboration_phase(uvm::uvm_phase& phase) + void end_of_elaboration_phase(uvm::uvm_phase& phase) override { check_the_phase("connect", "end_of_elaboration"); } - void start_of_simulation_phase(uvm::uvm_phase& phase) + void start_of_simulation_phase(uvm::uvm_phase& phase) override { check_the_phase("end_of_elaboration", "start_of_simulation"); } - void run_phase(uvm::uvm_phase& phase) + void run_phase(uvm::uvm_phase& phase) override { check_the_runtime_phase("start_of_simulation", "run", phase); } - void pre_reset_phase(uvm::uvm_phase& phase) + void pre_reset_phase(uvm::uvm_phase& phase) override { phase.raise_objection(this); check_the_runtime_phase("start_of_simulation", "pre_reset", phase); @@ -106,77 +106,77 @@ class test : public uvm_test phase.drop_objection(this); } - void reset_phase(uvm::uvm_phase& phase) + void reset_phase(uvm::uvm_phase& phase) override { check_the_runtime_phase("pre_reset", "reset", phase); } - void post_reset_phase(uvm::uvm_phase& phase) + void post_reset_phase(uvm::uvm_phase& phase) override { check_the_runtime_phase("reset", "post_reset", phase); } - void pre_configure_phase(uvm::uvm_phase& phase) + void pre_configure_phase(uvm::uvm_phase& phase) override { check_the_runtime_phase("post_reset", "pre_configure", phase); } - void configure_phase(uvm::uvm_phase& phase) + void configure_phase(uvm::uvm_phase& phase) override { check_the_runtime_phase("pre_configure", "configure", phase); } - void post_configure_phase(uvm::uvm_phase& phase) + void post_configure_phase(uvm::uvm_phase& phase) override { check_the_runtime_phase("configure", "post_configure", phase); } - void pre_main_phase(uvm::uvm_phase& phase) + void pre_main_phase(uvm::uvm_phase& phase) override { check_the_runtime_phase("post_configure", "pre_main", phase); } - void main_phase(uvm::uvm_phase& phase) + void main_phase(uvm::uvm_phase& phase) override { check_the_runtime_phase("pre_main", "main", phase); } - void post_main_phase(uvm::uvm_phase& phase) + void post_main_phase(uvm::uvm_phase& phase) override { check_the_runtime_phase("main", "post_main", phase); } - void pre_shutdown_phase(uvm::uvm_phase& phase) + void pre_shutdown_phase(uvm::uvm_phase& phase) override { check_the_runtime_phase("post_main", "pre_shutdown", phase); } - void shutdown_phase(uvm::uvm_phase& phase) + void shutdown_phase(uvm::uvm_phase& phase) override { check_the_runtime_phase("pre_shutdown", "shutdown", phase); } - void post_shutdown_phase(uvm::uvm_phase& phase) + void post_shutdown_phase(uvm::uvm_phase& phase) override { check_the_runtime_phase("shutdown", "post_shutdown", phase); } - void extract_phase(uvm::uvm_phase& phase) + void extract_phase(uvm::uvm_phase& phase) override { check_the_phase("post_shutdown", "extract"); } - void check_phase(uvm::uvm_phase& phase) + void check_phase(uvm::uvm_phase& phase) override { check_the_phase("extract", "check"); } - void report_phase(uvm::uvm_phase& phase) + void report_phase(uvm::uvm_phase& phase) override { check_the_phase("check", "report"); } - void final_phase(uvm::uvm_phase& phase) + void final_phase(uvm::uvm_phase& phase) override { check_the_phase("report", "final"); } diff --git a/tests/tests/uvm-systemc/unit_tests/40phasing/02simple_rt/test.cpp b/tests/tests/uvm-systemc/unit_tests/40phasing/02simple_rt/test.cpp index 88fc14bc..3b06dd30 100644 --- a/tests/tests/uvm-systemc/unit_tests/40phasing/02simple_rt/test.cpp +++ b/tests/tests/uvm-systemc/unit_tests/40phasing/02simple_rt/test.cpp @@ -45,7 +45,7 @@ class base : public uvm_component { } - void build_phase(uvm_phase& phase) + void build_phase(uvm_phase& phase) override { phase_run[uvm_build_phase::get()] = true; UVM_INFO("BUILD", "Starting Build", UVM_NONE); @@ -57,7 +57,7 @@ class base : public uvm_component UVM_INFO("BUILD", "Ending Build", UVM_NONE); } - void reset_phase(uvm_phase& phase) + void reset_phase(uvm_phase& phase) override { phase.raise_objection(this); phase_run[uvm_reset_phase::get()] = true; @@ -74,7 +74,7 @@ class base : public uvm_component phase.drop_objection(this); } - void main_phase(uvm_phase& phase) + void main_phase(uvm_phase& phase) override { phase.raise_objection(this); phase_run[uvm_main_phase::get()] = true; @@ -90,7 +90,7 @@ class base : public uvm_component phase.drop_objection(this); } - void run_phase(uvm_phase& phase) + void run_phase(uvm_phase& phase) override { phase.raise_objection(this); phase_run[uvm_run_phase::get()] = true; @@ -107,7 +107,7 @@ class base : public uvm_component phase.drop_objection(this); } - void extract_phase(uvm_phase& phase) + void extract_phase(uvm_phase& phase) override { phase_run[uvm_extract_phase::get()] = true; UVM_INFO("EXTRACT", "Starting Extract", UVM_NONE); @@ -141,7 +141,7 @@ class test : public base do_delay = false; } - void report_phase(uvm_phase& phase) + void report_phase(uvm_phase& phase) override { phase_run[uvm_report_phase::get()] = true; if(phase_run.size() != 6) diff --git a/tests/tests/uvm-systemc/unit_tests/40phasing/04objection/test.cpp b/tests/tests/uvm-systemc/unit_tests/40phasing/04objection/test.cpp index c7f7a7c7..58b96cca 100644 --- a/tests/tests/uvm-systemc/unit_tests/40phasing/04objection/test.cpp +++ b/tests/tests/uvm-systemc/unit_tests/40phasing/04objection/test.cpp @@ -49,7 +49,7 @@ class base : public uvm_component base( uvm_component_name name) : uvm_component(name), do_delay(true) {} - void build_phase(uvm_phase& phase) + void build_phase(uvm_phase& phase) override { phase_run[uvm_build_phase::get()] = true; UVM_INFO("BUILD", "Starting Build", UVM_NONE); @@ -61,7 +61,7 @@ class base : public uvm_component UVM_INFO("BUILD", "Ending Build", UVM_NONE); } - void reset_phase(uvm_phase& phase) + void reset_phase(uvm_phase& phase) override { phase.raise_objection(this); phase_run[uvm_reset_phase::get()] = true; @@ -81,7 +81,7 @@ class base : public uvm_component phase.drop_objection(this); } - void main_phase(uvm_phase& phase) + void main_phase(uvm_phase& phase) override { phase.raise_objection(this); phase_run[uvm_main_phase::get()] = true; @@ -103,7 +103,7 @@ class base : public uvm_component phase.drop_objection(this); } - void run_phase(uvm_phase& phase) + void run_phase(uvm_phase& phase) override { phase.raise_objection(this); phase_run[uvm_run_phase::get()] = true; @@ -118,7 +118,7 @@ class base : public uvm_component phase.drop_objection(this); } - void extract_phase(uvm_phase& phase) + void extract_phase(uvm_phase& phase) override { phase_run[uvm_extract_phase::get()] = true; UVM_INFO("EXTRACT", "Starting Extract", UVM_NONE); @@ -161,11 +161,11 @@ class test : public base phases_run = 0; } - void connect_phase(uvm_phase& phase) + void connect_phase(uvm_phase& phase) override {} // Do objections to phases proceeding - void run_phase(uvm_phase& phase) + void run_phase(uvm_phase& phase) override { uvm_domain* uvm_d = uvm_domain::get_uvm_domain(); uvm_phase* reset_p = uvm_d->find(uvm_reset_phase::get()); @@ -202,7 +202,7 @@ class test : public base ++phases_run; } - void report_phase(uvm_phase& phase) + void report_phase(uvm_phase& phase) override { phase_run[uvm_report_phase::get()] = true; if(phase_run.size() != 6) diff --git a/tests/tests/uvm-systemc/unit_tests/40phasing/05waitphase/test.cpp b/tests/tests/uvm-systemc/unit_tests/40phasing/05waitphase/test.cpp index 65bea76f..86f8383b 100644 --- a/tests/tests/uvm-systemc/unit_tests/40phasing/05waitphase/test.cpp +++ b/tests/tests/uvm-systemc/unit_tests/40phasing/05waitphase/test.cpp @@ -51,7 +51,7 @@ class base : public uvm_component //uvm_set_verbosity_level(UVM_DEBUG); } - void build_phase(uvm_phase& phase) + void build_phase(uvm_phase& phase) override { phase_run[uvm_build_phase::get()] = true; UVM_INFO("BUILD", "Starting Build", UVM_NONE); @@ -63,7 +63,7 @@ class base : public uvm_component UVM_INFO("BUILD", "Ending Build", UVM_NONE); } - void reset_phase(uvm_phase& phase) + void reset_phase(uvm_phase& phase) override { phase.raise_objection(this); phase_run[uvm_reset_phase::get()] = true; @@ -81,7 +81,7 @@ class base : public uvm_component phase.drop_objection(this); } - void main_phase(uvm_phase& phase) + void main_phase(uvm_phase& phase) override { phase.raise_objection(this); phase_run[uvm_main_phase::get()] = true; @@ -103,7 +103,7 @@ class base : public uvm_component phase.drop_objection(this); } - void shutdown_phase(uvm_phase& phase) + void shutdown_phase(uvm_phase& phase) override { phase.raise_objection(this); phase_run[uvm_shutdown_phase::get()] = true; @@ -125,7 +125,7 @@ class base : public uvm_component phase.drop_objection(this); } - void run_phase(uvm_phase& phase) + void run_phase(uvm_phase& phase) override { phase.raise_objection(this); phase_run[uvm_run_phase::get()] = true; @@ -140,7 +140,7 @@ class base : public uvm_component phase.drop_objection(this); } - void extract_phase(uvm_phase& phase) + void extract_phase(uvm_phase& phase) override { phase_run[uvm_extract_phase::get()] = true; UVM_INFO("EXTRACT", "Starting Extract", UVM_NONE); @@ -188,7 +188,7 @@ class test : public base //uvm_set_verbosity_level(UVM_DEBUG); } - void connect_phase(uvm_phase& phase) + void connect_phase(uvm_phase& phase) override { //uvm_phase::m_phase_trace = true; } @@ -197,7 +197,7 @@ class test : public base {} // Start up the checkers - void start_of_simulation_phase(uvm_phase& phase) + void start_of_simulation_phase(uvm_phase& phase) override { uvm_domain* uvm_d = uvm_domain::get_uvm_domain(); uvm_phase* reset_p = uvm_d->find(uvm_reset_phase::get()); @@ -246,7 +246,7 @@ class test : public base // By the time this runs, the run phase is done, but it should still be // EXECUTING due to the runtime phases - void main_phase(uvm_phase& phase) + void main_phase(uvm_phase& phase) override { uvm_domain* domain = uvm_domain::get_common_domain(); uvm_phase* run_phase = domain->find(uvm_run_phase::get()); @@ -262,7 +262,7 @@ class test : public base } } - void report_phase(uvm_phase& phase) + void report_phase(uvm_phase& phase) override { phase_run[uvm_report_phase::get()] = true; diff --git a/tests/tests/uvm-systemc/unit_tests/40phasing/06started_ended/test.cpp b/tests/tests/uvm-systemc/unit_tests/40phasing/06started_ended/test.cpp index fd139d56..106b97e2 100644 --- a/tests/tests/uvm-systemc/unit_tests/40phasing/06started_ended/test.cpp +++ b/tests/tests/uvm-systemc/unit_tests/40phasing/06started_ended/test.cpp @@ -96,7 +96,7 @@ class base : public uvm_component last_phase = ph_name; } - void phase_started(uvm_phase& phase) + void phase_started(uvm_phase& phase) override { std::string last, last_alt; std::string ph_name = phase.get_name(); @@ -150,7 +150,7 @@ class base : public uvm_component check_phase_callback(phase, last, last_alt); } - void phase_ended(uvm_phase& phase) + void phase_ended(uvm_phase& phase) override { std::string last, last_alt; std::string ph_name = phase.get_name(); @@ -211,7 +211,7 @@ class test : public base l1("l1"), l2("l2") {} - void main_phase(uvm_phase& phase) + void main_phase(uvm_phase& phase) override { static bool first = true; phase.raise_objection(this); @@ -225,7 +225,7 @@ class test : public base phase.drop_objection(this); } - void final_phase(uvm_phase& phase) + void final_phase(uvm_phase& phase) override { // 21 calls per component for three components (63) for phase started // 20 calls for three components (60) for phase ended (since final is still going) diff --git a/tests/tests/uvm-systemc/unit_tests/40phasing/08phase_ready_to_end/01basic/test.cpp b/tests/tests/uvm-systemc/unit_tests/40phasing/08phase_ready_to_end/01basic/test.cpp index d180437f..09d5883b 100644 --- a/tests/tests/uvm-systemc/unit_tests/40phasing/08phase_ready_to_end/01basic/test.cpp +++ b/tests/tests/uvm-systemc/unit_tests/40phasing/08phase_ready_to_end/01basic/test.cpp @@ -41,7 +41,7 @@ class passive_comp : public uvm_component : uvm_component(name), busy(false), ending(false) {} - void main_phase(uvm_phase& phase) + void main_phase(uvm_phase& phase) override { UVM_INFO(get_name(), "main thread started...", UVM_LOW); while (!ending) @@ -61,7 +61,7 @@ class passive_comp : public uvm_component UVM_INFO(get_name(), "main thread completed...", UVM_LOW); } - virtual void phase_ready_to_end(uvm_phase& phase) + void phase_ready_to_end(uvm_phase& phase) override { if (phase.get_name() == "main") { @@ -87,7 +87,7 @@ class active_comp : public uvm_component : uvm_component(name), called(false), failed(false) {} // normally wouldn't raise/drop each iter, but want to cause iter on read_to_end - void main_phase(uvm_phase& phase) + void main_phase(uvm_phase& phase) override { UVM_INFO(get_name(), "main thread started...", UVM_LOW); @@ -113,7 +113,7 @@ class active_comp : public uvm_component phase->drop_objection(this); } - virtual void phase_ready_to_end(uvm_phase& phase) + void phase_ready_to_end(uvm_phase& phase) override { if (phase.get_name() == "main" && !called) { @@ -123,7 +123,7 @@ class active_comp : public uvm_component } } - virtual void extract_phase(uvm_phase& phase) + void extract_phase(uvm_phase& phase) override { UVM_INFO("EXTRACT START", "extract phase started...", UVM_LOW); if ( sc_time_stamp() != sc_time(14, SC_SEC)) @@ -135,7 +135,7 @@ class active_comp : public uvm_component } } - virtual void report_phase(uvm_phase& phase) + void report_phase(uvm_phase& phase) override { if(failed) std::cout << "*** UVM TEST FAILED ***" << std::endl; diff --git a/tests/tests/uvm-systemc/unit_tests/40phasing/09schedules/01is_before_after/test.cpp b/tests/tests/uvm-systemc/unit_tests/40phasing/09schedules/01is_before_after/test.cpp index adf73ca0..97522b83 100644 --- a/tests/tests/uvm-systemc/unit_tests/40phasing/09schedules/01is_before_after/test.cpp +++ b/tests/tests/uvm-systemc/unit_tests/40phasing/09schedules/01is_before_after/test.cpp @@ -172,7 +172,7 @@ class test : public uvm_component inst_index[final_ph] = 21; } - void phase_started(uvm_phase& phase) + void phase_started(uvm_phase& phase) override { bool use_imp ; static bool done; @@ -276,10 +276,10 @@ class test : public uvm_component } } - void phase_ended(uvm_phase& phase) + void phase_ended(uvm_phase& phase) override {} - void final_phase(uvm_phase& phase) + void final_phase(uvm_phase& phase) override { UVM_INFO("FINAL", "Starting Final", UVM_NONE); @@ -287,7 +287,7 @@ class test : public uvm_component UVM_ERROR("NOT ENOUGH PHASES", "Expected 21 phases to be started"); } - void report_phase(uvm_phase& phase) + void report_phase(uvm_phase& phase) override {} }; diff --git a/tests/tests/uvm-systemc/unit_tests/40phasing/10domains/01twodomains/test.cpp b/tests/tests/uvm-systemc/unit_tests/40phasing/10domains/01twodomains/test.cpp index 2e0f5d34..547bc1dc 100644 --- a/tests/tests/uvm-systemc/unit_tests/40phasing/10domains/01twodomains/test.cpp +++ b/tests/tests/uvm-systemc/unit_tests/40phasing/10domains/01twodomains/test.cpp @@ -117,13 +117,13 @@ class test : public uvm_component l2.maxdelay = sc_time(1500, SC_SEC); } - void connect_phase(uvm_phase& phase) + void connect_phase(uvm_phase& phase) override { l1.set_domain(domain1); l2.set_domain(domain2); } - void report_phase(uvm_phase& phase) + void report_phase(uvm_phase& phase) override { phase_map_run[uvm_report_phase::get()] = true; diff --git a/tests/tests/uvm-systemc/unit_tests/40phasing/10domains/02reusedom/test.cpp b/tests/tests/uvm-systemc/unit_tests/40phasing/10domains/02reusedom/test.cpp index 628fb616..6f0deb89 100644 --- a/tests/tests/uvm-systemc/unit_tests/40phasing/10domains/02reusedom/test.cpp +++ b/tests/tests/uvm-systemc/unit_tests/40phasing/10domains/02reusedom/test.cpp @@ -37,7 +37,7 @@ bool failed; std::map phase_map_run; #define PHASE(NAME,DELAY,STARTTIME) \ - void NAME##_phase(uvm_phase& phase) { \ + void NAME##_phase(uvm_phase& phase) override { \ std::string str; \ str = "start " + std::string(#NAME); \ phase.raise_objection(this,str); \ @@ -76,7 +76,7 @@ class base : public uvm_component PHASE(shutdown, delay, 2*delay); PHASE(run, maxdelay, SC_ZERO_TIME); - void extract_phase(uvm_phase& phase) + void extract_phase(uvm_phase& phase) override { phase_map_run[uvm_extract_phase::get()] = true; UVM_INFO("EXTRACT", "Starting Extract", UVM_NONE); @@ -127,7 +127,7 @@ class env : public base l1.maxdelay = sc_time(1500, SC_SEC); } - void connect_phase(uvm_phase& phase) + void connect_phase(uvm_phase& phase) override { l1.set_domain(get_domain1()); l2.set_domain(get_domain2()); @@ -152,14 +152,14 @@ class test : public base env2.l2.delay = sc_time(151, SC_SEC); } - void connect_phase(uvm_phase& phase) + void connect_phase(uvm_phase& phase) override { //env1 and env2 comps are in different domains env2.l1.set_domain(domain1); env2.l2.set_domain(domain2); } - void report_phase(uvm_phase& phase) + void report_phase(uvm_phase& phase) override { phase_map_run[uvm_report_phase::get()] = true; if(phase_map_run.size() != 6) diff --git a/tests/tests/uvm-systemc/unit_tests/40phasing/10domains/03lockstep/test.cpp b/tests/tests/uvm-systemc/unit_tests/40phasing/10domains/03lockstep/test.cpp index f374b50d..95c5b883 100644 --- a/tests/tests/uvm-systemc/unit_tests/40phasing/10domains/03lockstep/test.cpp +++ b/tests/tests/uvm-systemc/unit_tests/40phasing/10domains/03lockstep/test.cpp @@ -51,7 +51,7 @@ class base : public uvm_component domaindelay = sc_time(300, SC_SEC); } - void build_phase(uvm_phase& phase) + void build_phase(uvm_phase& phase) override { phase_run[uvm_build_phase::get()] = true; UVM_INFO("BUILD", "Starting Build", UVM_NONE); @@ -63,7 +63,7 @@ class base : public uvm_component UVM_INFO("BUILD", "Ending Build", UVM_NONE); } - void reset_phase(uvm_phase& phase) + void reset_phase(uvm_phase& phase) override { phase.raise_objection(this); phase_run[uvm_reset_phase::get()] = true; @@ -78,7 +78,7 @@ class base : public uvm_component phase.drop_objection(this); } - void main_phase(uvm_phase& phase) + void main_phase(uvm_phase& phase) override { phase.raise_objection(this); phase_run[uvm_main_phase::get()] = true; @@ -95,7 +95,7 @@ class base : public uvm_component phase.drop_objection(this); } - void shutdown_phase(uvm_phase& phase) + void shutdown_phase(uvm_phase& phase) override { phase.raise_objection(this); phase_run[uvm_shutdown_phase::get()] = true; @@ -112,7 +112,7 @@ class base : public uvm_component phase.drop_objection(this); } - void run_phase(uvm_phase& phase) + void run_phase(uvm_phase& phase) override { phase.raise_objection(this); phase_run[uvm_run_phase::get()] = true; @@ -127,7 +127,7 @@ class base : public uvm_component phase.drop_objection(this); } - void extract_phase(uvm_phase& phase) + void extract_phase(uvm_phase& phase) override { phase_run[uvm_extract_phase::get()] = true; UVM_INFO("EXTRACT", "Starting Extract", UVM_NONE); @@ -187,7 +187,7 @@ class test : public base // phase.drop_objection(this); //} - void report_phase(uvm_phase& phase) + void report_phase(uvm_phase& phase) override { phase_run[uvm_report_phase::get()] = true; if (phase_run.size() != 7) diff --git a/tests/tests/uvm-systemc/unit_tests/40phasing/10domains/04lockstep_jump/test.cpp b/tests/tests/uvm-systemc/unit_tests/40phasing/10domains/04lockstep_jump/test.cpp index 01e2639f..8a5b2c61 100644 --- a/tests/tests/uvm-systemc/unit_tests/40phasing/10domains/04lockstep_jump/test.cpp +++ b/tests/tests/uvm-systemc/unit_tests/40phasing/10domains/04lockstep_jump/test.cpp @@ -58,7 +58,7 @@ class base : public uvm_component domaindelay = sc_time(300, SC_SEC); } - virtual void build_phase(uvm_phase& phase) + void build_phase(uvm_phase& phase) override { phase_run[uvm_build_phase::get()] = true; UVM_INFO("BUILD", "Starting Build", UVM_NONE); @@ -70,7 +70,7 @@ class base : public uvm_component UVM_INFO("BUILD", "Ending Build", UVM_NONE); } - virtual void reset_phase(uvm_phase& phase) + void reset_phase(uvm_phase& phase) override { phase.raise_objection(this, "reset phase"); phase_run[uvm_reset_phase::get()] = true; @@ -85,7 +85,7 @@ class base : public uvm_component phase.drop_objection(this, "reset phase"); } - virtual void main_phase(uvm_phase& phase) + void main_phase(uvm_phase& phase) override { phase.raise_objection(this, "main phase"); phase_run[uvm_main_phase::get()] = true; @@ -102,7 +102,7 @@ class base : public uvm_component phase.drop_objection(this, "main phase"); } - virtual void shutdown_phase(uvm_phase& phase) + void shutdown_phase(uvm_phase& phase) override { phase.raise_objection(this, "shutdown phase"); phase_run[uvm_shutdown_phase::get()] = true; @@ -119,7 +119,7 @@ class base : public uvm_component phase.drop_objection(this, "shutdown phase"); } - virtual void run_phase(uvm_phase& phase) + void run_phase(uvm_phase& phase) override { phase.raise_objection(this, "run phase"); phase_run[uvm_run_phase::get()] = true; @@ -134,7 +134,7 @@ class base : public uvm_component phase.drop_objection(this, "run phase"); } - virtual void extract_phase(uvm_phase& phase) + void extract_phase(uvm_phase& phase) override { phase_run[uvm_extract_phase::get()] = true; UVM_INFO("EXTRACT", "Starting Extract", UVM_NONE); @@ -166,7 +166,7 @@ class jump_leaf : public uvm_component domaindelay = sc_time(300, SC_SEC); } - void build_phase(uvm_phase& phase) + void build_phase(uvm_phase& phase) override { phase_run[uvm_build_phase::get()] = true; UVM_INFO("BUILD", "Starting Build", UVM_NONE); @@ -178,7 +178,7 @@ class jump_leaf : public uvm_component UVM_INFO("BUILD", "Ending Build", UVM_NONE); } - void reset_phase(uvm_phase& phase) + void reset_phase(uvm_phase& phase) override { phase.raise_objection(this, "reset phase"); was_reset = phase.get_run_count() == 1 ? false : true; @@ -200,7 +200,7 @@ class jump_leaf : public uvm_component phase.drop_objection(this, "reset phase"); } - void main_phase(uvm_phase& phase) + void main_phase(uvm_phase& phase) override { sc_time expdelay; was_reset = phase.get_run_count() == 1 ? false : true; @@ -226,7 +226,7 @@ class jump_leaf : public uvm_component phase.drop_objection(this, "main phase"); } - void shutdown_phase(uvm_phase& phase) + void shutdown_phase(uvm_phase& phase) override { phase.raise_objection(this, "shutdown phase"); phase_run[uvm_shutdown_phase::get()] = true; @@ -281,7 +281,7 @@ class test : public base domain1.sync(domain3); } - void run_phase(uvm_phase& phase) + void run_phase(uvm_phase& phase) override { uvm_phase* reset_phase = domain3.find_by_name("reset"); uvm_phase* main_phase = domain3.find_by_name("main"); @@ -304,7 +304,7 @@ class test : public base phase.drop_objection(this, "run phase"); } - void report_phase(uvm_phase& phase) + void report_phase(uvm_phase& phase) override { uvm_phase* reset_ph; diff --git a/tests/tests/uvm-systemc/unit_tests/40phasing/10domains/05simple_sync/test.cpp b/tests/tests/uvm-systemc/unit_tests/40phasing/10domains/05simple_sync/test.cpp index dc92aaa9..ccb83296 100644 --- a/tests/tests/uvm-systemc/unit_tests/40phasing/10domains/05simple_sync/test.cpp +++ b/tests/tests/uvm-systemc/unit_tests/40phasing/10domains/05simple_sync/test.cpp @@ -54,7 +54,7 @@ class comp_type : public uvm_component delay = sc_time(200, SC_SEC); } - void reset_phase(uvm_phase& phase) + void reset_phase(uvm_phase& phase) override { UVM_INFO("RESET", "Starting Reset", UVM_NONE); phase.raise_objection(this, "start reset"); @@ -63,7 +63,7 @@ class comp_type : public uvm_component phase.drop_objection(this, "start reset"); } - void main_phase(uvm_phase& phase) + void main_phase(uvm_phase& phase) override { UVM_INFO("MAIN", "Starting Main", UVM_NONE); phase.raise_objection(this, "start main"); @@ -72,7 +72,7 @@ class comp_type : public uvm_component phase.drop_objection(this, "start main"); } - void shutdown_phase(uvm_phase& phase) + void shutdown_phase(uvm_phase& phase) override { UVM_INFO("SHUTDOWN", "Starting Shutdown", UVM_NONE); phase.raise_objection(this, "start shutdown"); @@ -81,14 +81,14 @@ class comp_type : public uvm_component phase.drop_objection(this, "start shutdown"); } - void phase_started(uvm_phase& phase) + void phase_started(uvm_phase& phase) override { if (phase.is(uvm_reset_phase::get())) start_reset = sc_time_stamp(); if (phase.is(uvm_main_phase::get())) start_main = sc_time_stamp(); if (phase.is(uvm_shutdown_phase::get())) start_shutdown = sc_time_stamp(); } - void phase_ended(uvm_phase& phase) + void phase_ended(uvm_phase& phase) override { if (phase.is(uvm_reset_phase::get())) end_reset = sc_time_stamp(); if (phase.is(uvm_main_phase::get())) end_main = sc_time_stamp(); @@ -117,7 +117,7 @@ class test : public uvm_component domain1.sync(domain2, uvm_main_phase::get(), uvm_post_reset_phase::get()); } - void report_phase(uvm_phase& phase) + void report_phase(uvm_phase& phase) override { std::cout << "l1 reset: " << leaf1.start_reset << " - " << leaf1.end_reset << std::endl; std::cout << "l1 main: " << leaf1.start_main << " - " << leaf1.end_main << std::endl; diff --git a/tests/tests/uvm-systemc/unit_tests/40phasing/10domains/06simple_unsync/test.cpp b/tests/tests/uvm-systemc/unit_tests/40phasing/10domains/06simple_unsync/test.cpp index 0adc7b4b..e74425e1 100644 --- a/tests/tests/uvm-systemc/unit_tests/40phasing/10domains/06simple_unsync/test.cpp +++ b/tests/tests/uvm-systemc/unit_tests/40phasing/10domains/06simple_unsync/test.cpp @@ -54,7 +54,7 @@ class comp_type : public uvm_component delay = sc_time(200, SC_SEC); } - void reset_phase(uvm_phase& phase) + void reset_phase(uvm_phase& phase) override { UVM_INFO("RESET", "Starting Reset", UVM_NONE); phase.raise_objection(this, "start reset"); @@ -63,7 +63,7 @@ class comp_type : public uvm_component phase.drop_objection(this, "start reset"); } - void main_phase(uvm_phase& phase) + void main_phase(uvm_phase& phase) override { UVM_INFO("MAIN", "Starting Main", UVM_NONE); phase.raise_objection(this, "start main"); @@ -72,7 +72,7 @@ class comp_type : public uvm_component phase.drop_objection(this, "start main"); } - void shutdown_phase(uvm_phase& phase) + void shutdown_phase(uvm_phase& phase) override { UVM_INFO("SHUTDOWN", "Starting Shutdown", UVM_NONE); phase.raise_objection(this, "start shutdown"); @@ -81,14 +81,14 @@ class comp_type : public uvm_component phase.drop_objection(this, "start shutdown"); } - void phase_started(uvm_phase& phase) + void phase_started(uvm_phase& phase) override { if (phase.is(uvm_reset_phase::get())) start_reset = sc_time_stamp(); if (phase.is(uvm_main_phase::get())) start_main = sc_time_stamp(); if (phase.is(uvm_shutdown_phase::get())) start_shutdown = sc_time_stamp(); } - void phase_ended(uvm_phase& phase) + void phase_ended(uvm_phase& phase) override { if (phase.is(uvm_reset_phase::get())) end_reset = sc_time_stamp(); if (phase.is(uvm_main_phase::get())) end_main = sc_time_stamp(); @@ -122,7 +122,7 @@ class test : public uvm_component } - void report_phase(uvm_phase& phase) + void report_phase(uvm_phase& phase) override { std::cout << "l1 reset: " << leaf1.start_reset << " - " << leaf1.end_reset << std::endl; std::cout << "l1 main: " << leaf1.start_main << " - " << leaf1.end_main << std::endl; diff --git a/tests/tests/uvm-systemc/unit_tests/40phasing/20sequences/01simple/test.cpp b/tests/tests/uvm-systemc/unit_tests/40phasing/20sequences/01simple/test.cpp index 1b696830..60a7527a 100644 --- a/tests/tests/uvm-systemc/unit_tests/40phasing/20sequences/01simple/test.cpp +++ b/tests/tests/uvm-systemc/unit_tests/40phasing/20sequences/01simple/test.cpp @@ -42,7 +42,7 @@ class myseq : public uvm_sequence<> UVM_OBJECT_UTILS(myseq); - void body() + void body() override { start_cnt++; UVM_INFO("INBODY", "Starting myseq!!!", UVM_NONE); @@ -69,7 +69,7 @@ class myseqr : public uvm_sequencer<> UVM_COMPONENT_UTILS(myseqr); - void main_phase(uvm_phase& phase) + void main_phase(uvm_phase& phase) override { UVM_INFO("MAIN","In main!!!", UVM_NONE); sc_core::wait(100, SC_MS); @@ -87,14 +87,14 @@ class test : public uvm_test UVM_COMPONENT_UTILS(test); - void build_phase(uvm_phase& phase) + void build_phase(uvm_phase& phase) override { seqr = myseqr::type_id::create("seqr"); uvm_config_db::set(this, "seqr.configure_phase", "default_sequence", myseq::type_id::get()); uvm_config_db::set(this, "seqr.main_phase", "default_sequence", myseq::type_id::get()); } - void report_phase(uvm_phase& phase) + void report_phase(uvm_phase& phase) override { if(myseq::start_cnt != 2 && myseq::end_cnt != 2) cout << "*** UVM TEST FAILED ***" << endl; diff --git a/tests/tests/uvm-systemc/unit_tests/40phasing/99fixes/01finish_on_completion/test.cpp b/tests/tests/uvm-systemc/unit_tests/40phasing/99fixes/01finish_on_completion/test.cpp index 335571f0..927cec8b 100644 --- a/tests/tests/uvm-systemc/unit_tests/40phasing/99fixes/01finish_on_completion/test.cpp +++ b/tests/tests/uvm-systemc/unit_tests/40phasing/99fixes/01finish_on_completion/test.cpp @@ -61,7 +61,7 @@ class test : public uvm_test test( uvm_component_name name ) : uvm_test(name) {} - virtual void report_phase( uvm_phase& phase ) + void report_phase( uvm_phase& phase ) override { std::cout << "** UVM TEST PASSED **" << std::endl; } diff --git a/tests/tests/uvm-systemc/unit_tests/70regs/01fields/01reset/test.cpp b/tests/tests/uvm-systemc/unit_tests/70regs/01fields/01reset/test.cpp index 1fd5802c..a468d725 100644 --- a/tests/tests/uvm-systemc/unit_tests/70regs/01fields/01reset/test.cpp +++ b/tests/tests/uvm-systemc/unit_tests/70regs/01fields/01reset/test.cpp @@ -69,7 +69,7 @@ int sc_main(int, char*[]) uvm_reg_data_t data; // 2-state data value (no X and Z states) reg_id* rg; - rg = new reg_id();; + rg = new reg_id(); rg->build(); diff --git a/tests/tests/uvm-systemc/unit_tests/70regs/01fields/02policy/test.cpp b/tests/tests/uvm-systemc/unit_tests/70regs/01fields/02policy/test.cpp index e725b51d..7f660c32 100644 --- a/tests/tests/uvm-systemc/unit_tests/70regs/01fields/02policy/test.cpp +++ b/tests/tests/uvm-systemc/unit_tests/70regs/01fields/02policy/test.cpp @@ -146,7 +146,7 @@ class dut : public uvm_reg_frontdoor written = false; } - virtual void body() + void body() override { uvm_reg_data_t data; @@ -239,7 +239,7 @@ class test : public uvm_test UVM_COMPONENT_UTILS(test); - void build_phase(uvm::uvm_phase& phase) + void build_phase(uvm::uvm_phase& phase) override { blk->default_map = blk->create_map("map", 0, 8, UVM_BIG_ENDIAN); rg->build(); @@ -251,7 +251,7 @@ class test : public uvm_test blk->lock_model(); } - void run_phase(uvm::uvm_phase& phase) + void run_phase(uvm::uvm_phase& phase) override { phase.raise_objection(this); uvm_reg_single_bit_bash_seq* seq; diff --git a/tests/tests/uvm-systemc/unit_tests/70regs/01fields/10maxsize/test.cpp b/tests/tests/uvm-systemc/unit_tests/70regs/01fields/10maxsize/test.cpp index 81be5ed6..14e8248e 100644 --- a/tests/tests/uvm-systemc/unit_tests/70regs/01fields/10maxsize/test.cpp +++ b/tests/tests/uvm-systemc/unit_tests/70regs/01fields/10maxsize/test.cpp @@ -67,7 +67,7 @@ class my_catcher : public uvm_report_catcher my_catcher(){} - virtual action_e do_catch() + action_e do_catch() override { std::string txt = get_message(); diff --git a/tests/tests/uvm-systemc/unit_tests/70regs/02regs/10maxsize/test.cpp b/tests/tests/uvm-systemc/unit_tests/70regs/02regs/10maxsize/test.cpp index d8506b71..31d47f42 100644 --- a/tests/tests/uvm-systemc/unit_tests/70regs/02regs/10maxsize/test.cpp +++ b/tests/tests/uvm-systemc/unit_tests/70regs/02regs/10maxsize/test.cpp @@ -75,7 +75,7 @@ class my_catcher : public uvm_report_catcher public: static int seen; - virtual action_e do_catch() + action_e do_catch() override { std::string txt = get_message(); diff --git a/tests/tests/uvm-systemc/unit_tests/70regs/02regs/20compare_mismatch/test.cpp b/tests/tests/uvm-systemc/unit_tests/70regs/02regs/20compare_mismatch/test.cpp index 33d0ac99..ec6886fa 100644 --- a/tests/tests/uvm-systemc/unit_tests/70regs/02regs/20compare_mismatch/test.cpp +++ b/tests/tests/uvm-systemc/unit_tests/70regs/02regs/20compare_mismatch/test.cpp @@ -39,7 +39,7 @@ class test_seq : public uvm_reg_sequence<> // Drive all registers inside model - virtual void body() + void body() override { uvm_status_e status; @@ -69,7 +69,7 @@ class reg2uvc_adapter : public uvm_reg_adapter { public: - virtual uvm_sequence_item* reg2bus(const uvm_reg_bus_op& rw) + uvm_sequence_item* reg2bus(const uvm_reg_bus_op& rw) override { transaction* txn = transaction::type_id::create("txn"); txn->dir = rw.kind; @@ -78,7 +78,7 @@ class reg2uvc_adapter : public uvm_reg_adapter return txn; } - virtual void bus2reg( const uvm_sequence_item* bus_item, uvm_reg_bus_op& rw) + void bus2reg( const uvm_sequence_item* bus_item, uvm_reg_bus_op& rw) override { const transaction* txn = dynamic_cast(bus_item); if (txn == nullptr) @@ -110,7 +110,7 @@ class test : public uvm_test sc_core::sc_signal* pif; - virtual void build_phase( uvm_phase& phase ) + void build_phase( uvm_phase& phase ) override { uvm_test::build_phase(phase); @@ -129,7 +129,7 @@ class test : public uvm_test } - virtual void connect_phase( uvm_phase& phase ) + void connect_phase( uvm_phase& phase ) override { // Set model's sequencer and adapter sequence reg2uvc_adapter* reg2uvc = new reg2uvc_adapter(); @@ -140,14 +140,14 @@ class test : public uvm_test uenv->uod->vif = pif; } - void end_of_elaboration_phase( uvm_phase& phase ) + void end_of_elaboration_phase( uvm_phase& phase ) override { model->reset(); //uvm_default_printer = uvm_default_tree_printer; //print(); } - void run_phase(uvm_phase& phase) + void run_phase(uvm_phase& phase) override { phase.raise_objection(this); @@ -168,7 +168,7 @@ class test : public uvm_test test( uvm_component_name name) : uvm_test(name) {} - virtual void report_phase( uvm_phase& phase ) + void report_phase( uvm_phase& phase ) override { std::cout << "** UVM TEST PASSED **" << std::endl; std::cout << "UVM TEST EXPECT 1 UVM_ERROR" << std::endl; diff --git a/tests/tests/uvm-systemc/unit_tests/70regs/02regs/20compare_mismatch/transaction.h b/tests/tests/uvm-systemc/unit_tests/70regs/02regs/20compare_mismatch/transaction.h index 9388c59c..eb5ef619 100644 --- a/tests/tests/uvm-systemc/unit_tests/70regs/02regs/20compare_mismatch/transaction.h +++ b/tests/tests/uvm-systemc/unit_tests/70regs/02regs/20compare_mismatch/transaction.h @@ -32,7 +32,7 @@ class transaction : public uvm::uvm_sequence_item UVM_OBJECT_UTILS(transaction); - virtual void do_copy( const uvm::uvm_object& rhs ) + void do_copy( const uvm::uvm_object& rhs ) override { const transaction* rhs_ = dynamic_cast(&rhs); if(rhs_ == nullptr) @@ -45,7 +45,7 @@ class transaction : public uvm::uvm_sequence_item dir = rhs_->dir; } - virtual bool do_compare( const uvm::uvm_object& rhs, const uvm::uvm_comparer* comparer ) + bool do_compare( const uvm::uvm_object& rhs, const uvm::uvm_comparer* comparer ) const override { const transaction* rhs_ = dynamic_cast(&rhs); if(rhs_ == nullptr) @@ -64,14 +64,14 @@ class transaction : public uvm::uvm_sequence_item ); } - void do_print( uvm::uvm_printer& printer ) const + void do_print(const uvm::uvm_printer& printer ) const override { printer.print_field_int("addr", addr); printer.print_field_int("data", data); printer.print_string("dir", uvm::uvm_access_name[dir]); } - std::string convert2string() + std::string convert2string() const override { std::ostringstream str; str << "dir: " << uvm::uvm_access_name[dir]; diff --git a/tests/tests/uvm-systemc/unit_tests/70regs/02regs/20compare_mismatch/uvc.h b/tests/tests/uvm-systemc/unit_tests/70regs/02regs/20compare_mismatch/uvc.h index 795406da..b52f83ba 100644 --- a/tests/tests/uvm-systemc/unit_tests/70regs/02regs/20compare_mismatch/uvc.h +++ b/tests/tests/uvm-systemc/unit_tests/70regs/02regs/20compare_mismatch/uvc.h @@ -48,7 +48,7 @@ class uvc_driver : public uvm::uvm_driver public: T vif; - void run_phase( uvm::uvm_phase& phase ) + void run_phase( uvm::uvm_phase& phase ) override { transaction req; while(true) @@ -80,7 +80,7 @@ class uvc_env : public uvm::uvm_env uvc_sequencer* uos; uvc_driver* uod; - virtual void build_phase( uvm::uvm_phase& phase) + void build_phase( uvm::uvm_phase& phase) override { uvm_env::build_phase(phase); @@ -91,7 +91,7 @@ class uvc_env : public uvm::uvm_env uod = uvc_driver::type_id::create("uod"); } - virtual void connect_phase( uvm::uvm_phase& phase) + void connect_phase( uvm::uvm_phase& phase) override { uod->seq_item_port.connect(uos->seq_item_export); } diff --git a/tests/tests/uvm-systemc/unit_tests/70regs/05mem/10maxsize/test.cpp b/tests/tests/uvm-systemc/unit_tests/70regs/05mem/10maxsize/test.cpp index 9124588c..e87fdce7 100644 --- a/tests/tests/uvm-systemc/unit_tests/70regs/05mem/10maxsize/test.cpp +++ b/tests/tests/uvm-systemc/unit_tests/70regs/05mem/10maxsize/test.cpp @@ -54,7 +54,7 @@ class my_catcher : public uvm_report_catcher public: static int seen; - virtual action_e do_catch() + action_e do_catch() override { std::string txt = get_message(); diff --git a/tests/tests/uvm-systemc/unit_tests/70regs/05mem/20mem_access/test.cpp b/tests/tests/uvm-systemc/unit_tests/70regs/05mem/20mem_access/test.cpp index 166f2fce..73351f0a 100644 --- a/tests/tests/uvm-systemc/unit_tests/70regs/05mem/20mem_access/test.cpp +++ b/tests/tests/uvm-systemc/unit_tests/70regs/05mem/20mem_access/test.cpp @@ -69,7 +69,7 @@ class tbtest : public sc_module mem[i] = 0; } - void end_of_simulation() + void end_of_simulation() override { print_mem(); } @@ -111,7 +111,7 @@ class tbtest : public sc_module mmap_type(const std::string& name = "unnamed-mmap_type") : uvm_reg_block(name, UVM_NO_COVERAGE) {} - ~mmap_type() { + ~mmap_type() override { if (mem) { delete mem; } @@ -151,7 +151,7 @@ class tbtest : public sc_module UVM_OBJECT_UTILS(user_test_seq); // Drive all registers inside model - virtual void body() + void body() override { uvm_status_e status; sc_uint<64> data; @@ -196,7 +196,7 @@ class tbtest : public sc_module user_transaction( const std::string& name = "unnamed-user_transaction") : uvm_sequence_item(name) {} - void do_print(const uvm::uvm_printer& printer) const { + void do_print(const uvm::uvm_printer& printer) const override { uvm_sequence_item::do_print(printer); printer.print_field_int("addr", addr); printer.print_field_int("data", data); @@ -227,7 +227,7 @@ class tbtest : public sc_module UVM_COMPONENT_UTILS(user_driver); - void run_phase(uvm_phase & phase) + void run_phase(uvm_phase & phase) override { user_transaction req; @@ -250,7 +250,7 @@ class tbtest : public sc_module class reg2bus_adapter : public uvm_reg_adapter { public: - virtual uvm_sequence_item* reg2bus(const uvm_reg_bus_op & rw) + uvm_sequence_item* reg2bus(const uvm_reg_bus_op & rw) override { user_transaction* txn = user_transaction::type_id::create("txn"); txn->r_wn = (rw.kind == UVM_READ) ? 1 : 0; @@ -259,7 +259,7 @@ class tbtest : public sc_module return txn; } - virtual void bus2reg(const uvm_sequence_item* bus_item, uvm_reg_bus_op & rw) + void bus2reg(const uvm_sequence_item* bus_item, uvm_reg_bus_op & rw) override { const user_transaction* txn = dynamic_cast(bus_item); if (!txn) @@ -287,7 +287,7 @@ class tbtest : public sc_module user_driver* drv; user_test_seq* seq; - virtual void build_phase(uvm_phase & phase) + void build_phase(uvm_phase & phase) override { uvm_test::build_phase(phase); // Create register model @@ -297,7 +297,7 @@ class tbtest : public sc_module drv = user_driver::type_id::create("drv", this); } - virtual void connect_phase(uvm_phase & phase) + void connect_phase(uvm_phase & phase) override { // Set model's sequencer and adapter sequence reg2bus_adapter* reg2bus = new reg2bus_adapter(); @@ -305,14 +305,14 @@ class tbtest : public sc_module drv->seq_item_port.connect(sqr->seq_item_export); } - void end_of_elaboration_phase(uvm_phase & phase) + void end_of_elaboration_phase(uvm_phase & phase) override { uvm_default_printer = uvm_default_tree_printer; this->print(); model->print(); } - void run_phase(uvm_phase & phase) + void run_phase(uvm_phase & phase) override { phase.raise_objection(this); // Create register sequence @@ -329,7 +329,7 @@ class tbtest : public sc_module test( uvm_component_name name) : uvm_test(name) {} - virtual void report_phase(uvm_phase & phase) + void report_phase(uvm_phase & phase) override { uvm_coreservice_t* cs_; uvm_report_server* svr; @@ -354,7 +354,7 @@ class tbtest : public sc_module uvm_report_catcher(name) {} - virtual action_e do_catch() + action_e do_catch() override { return THROW; } @@ -368,7 +368,7 @@ class tbtest : public sc_module top = new top_("top"); } - ~tbtest() { + ~tbtest() override { if (catcher) { delete catcher; } diff --git a/tests/tests/uvm-systemc/unit_tests/70regs/30bkdr/01hdl/test.cpp b/tests/tests/uvm-systemc/unit_tests/70regs/30bkdr/01hdl/test.cpp index 4a8d1f89..e4413e00 100644 --- a/tests/tests/uvm-systemc/unit_tests/70regs/30bkdr/01hdl/test.cpp +++ b/tests/tests/uvm-systemc/unit_tests/70regs/30bkdr/01hdl/test.cpp @@ -165,7 +165,7 @@ class test : public uvm_test // if not driven, retains value until next direct assignment // (note: Release not supported without DPI) - void run_phase( uvm_phase& phase ) + void run_phase( uvm_phase& phase ) override { phase.raise_objection(this);