Skip to content

Commit 547a837

Browse files
Prune an unnecessary timing constraint
1 parent fba90ff commit 547a837

File tree

1 file changed

+0
-3
lines changed

1 file changed

+0
-3
lines changed

hdk/cl/developer_designs/cl_firesim/build/constraints/cl_pnr_user.xdc

Lines changed: 0 additions & 3 deletions
Original file line numberDiff line numberDiff line change
@@ -1,8 +1,5 @@
11
# This contains the CL specific constraints for Top level PNR
22

3-
# False path between vled on CL clock and Shell asynchronous clock
4-
set_false_path -from [get_cells WRAPPER_INST/CL/vled_q_reg*]
5-
63
# False paths between main clock and tck
74
set_clock_groups -name TIG_SRAI_1 -asynchronous -group [get_clocks -of_objects [get_pins static_sh/SH_DEBUG_BRIDGE/inst/bsip/inst/USE_SOFTBSCAN.U_TAP_TCKBUFG/O]] -group [get_clocks -of_objects [get_pins SH/kernel_clks_i/clkwiz_sys_clk/inst/CLK_CORE_DRP_I/clk_inst/mmcme3_adv_inst/CLKOUT0]]
85
set_clock_groups -name TIG_SRAI_2 -asynchronous -group [get_clocks -of_objects [get_pins static_sh/SH_DEBUG_BRIDGE/inst/bsip/inst/USE_SOFTBSCAN.U_TAP_TCKBUFG/O]] -group [get_clocks drck]

0 commit comments

Comments
 (0)