File tree Expand file tree Collapse file tree 1 file changed +0
-3
lines changed
hdk/cl/developer_designs/cl_firesim/build/constraints Expand file tree Collapse file tree 1 file changed +0
-3
lines changed Original file line number Diff line number Diff line change 11# This contains the CL specific constraints for Top level PNR
22
3- # False path between vled on CL clock and Shell asynchronous clock
4- set_false_path -from [get_cells WRAPPER_INST/CL/vled_q_reg*]
5-
63# False paths between main clock and tck
74set_clock_groups -name TIG_SRAI_1 -asynchronous -group [get_clocks -of_objects [get_pins static_sh/SH_DEBUG_BRIDGE/inst/bsip/inst/USE_SOFTBSCAN.U_TAP_TCKBUFG/O]] -group [get_clocks -of_objects [get_pins SH/kernel_clks_i/clkwiz_sys_clk/inst/CLK_CORE_DRP_I/clk_inst/mmcme3_adv_inst/CLKOUT0]]
85set_clock_groups -name TIG_SRAI_2 -asynchronous -group [get_clocks -of_objects [get_pins static_sh/SH_DEBUG_BRIDGE/inst/bsip/inst/USE_SOFTBSCAN.U_TAP_TCKBUFG/O]] -group [get_clocks drck]
You can’t perform that action at this time.
0 commit comments