Skip to content

Commit 6cfd240

Browse files
committed
feat: add needed io port def for branch pred
1 parent 2d2d7af commit 6cfd240

File tree

3 files changed

+23
-14
lines changed

3 files changed

+23
-14
lines changed

rtl/tc_l2/src/main/scala/core/ma/Memory.scala

Lines changed: 15 additions & 11 deletions
Original file line numberDiff line numberDiff line change
@@ -14,17 +14,19 @@ class Memory extends Module {
1414
val mtip = Output(Bool())
1515
})
1616

17-
protected val memReg = RegEnable(io.ex2mem, WireInit(0.U.asTypeOf(new EX2MEMIO())), io.globalEn)
18-
protected val valid = memReg.valid
19-
protected val inst = memReg.inst
20-
protected val pc = memReg.pc
21-
protected val isa = memReg.isa
22-
protected val imm = memReg.imm
23-
protected val rs1 = memReg.inst(19, 15)
24-
protected val rs2 = memReg.inst(24, 20)
25-
protected val src1 = memReg.src1
26-
protected val src2 = memReg.src2
27-
protected val csr = memReg.csr
17+
protected val memReg = RegEnable(io.ex2mem, WireInit(0.U.asTypeOf(new EX2MEMIO())), io.globalEn)
18+
protected val valid = memReg.valid
19+
protected val inst = memReg.inst
20+
protected val pc = memReg.pc
21+
protected val branIdx = memReg.branIdx
22+
protected val predTaken = memReg.predTaken
23+
protected val isa = memReg.isa
24+
protected val imm = memReg.imm
25+
protected val rs1 = memReg.inst(19, 15)
26+
protected val rs2 = memReg.inst(24, 20)
27+
protected val src1 = memReg.src1
28+
protected val src2 = memReg.src2
29+
protected val csr = memReg.csr
2830

2931
protected val lsu = Module(new LSU)
3032
lsu.io.valid := valid
@@ -52,6 +54,8 @@ class Memory extends Module {
5254
io.mem2wb.valid := valid
5355
io.mem2wb.inst := inst
5456
io.mem2wb.pc := pc
57+
io.mem2wb.branIdx := branIdx
58+
io.mem2wb.predTaken := predTaken
5559
io.mem2wb.isa := isa
5660
io.mem2wb.src1 := src1
5761
io.mem2wb.src2 := src2

rtl/tc_l2/src/main/scala/port/IF2IDIO.scala

Lines changed: 7 additions & 3 deletions
Original file line numberDiff line numberDiff line change
@@ -3,8 +3,12 @@ package treecorel2
33
import chisel3._
44
import chisel3.util._
55

6+
import treecorel2.common.ConstVal
7+
68
class IF2IDIO extends Bundle {
7-
val valid = Output(Bool())
8-
val inst = Output(UInt(32.W))
9-
val pc = Output(UInt(64.W))
9+
val valid = Output(Bool())
10+
val inst = Output(UInt(32.W))
11+
val pc = Output(UInt(64.W))
12+
val branIdx = Output(UInt(ConstVal.GHRLen.W))
13+
val predTaken = Output(Bool())
1014
}

rtl/tc_l2/src/main/scala/port/ISAIO.scala

Lines changed: 1 addition & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -80,4 +80,5 @@ class ISAIO extends Bundle {
8080
val REMU = Bool()
8181
val REMUW = Bool()
8282
val REMW = Bool()
83+
val GCD = Bool()
8384
}

0 commit comments

Comments
 (0)