diff --git a/.stamp.verilate b/.stamp.verilate new file mode 100644 index 0000000..e69de29 diff --git a/.vscode/settings.json b/.vscode/settings.json new file mode 100644 index 0000000..87856e4 --- /dev/null +++ b/.vscode/settings.json @@ -0,0 +1,55 @@ +{ + "files.associations": { + "ostream": "cpp", + "array": "cpp", + "atomic": "cpp", + "bit": "cpp", + "*.tcc": "cpp", + "bitset": "cpp", + "cctype": "cpp", + "cfenv": "cpp", + "clocale": "cpp", + "cmath": "cpp", + "compare": "cpp", + "concepts": "cpp", + "cstdarg": "cpp", + "cstddef": "cpp", + "cstdint": "cpp", + "cstdio": "cpp", + "cstdlib": "cpp", + "cwchar": "cpp", + "cwctype": "cpp", + "deque": "cpp", + "string": "cpp", + "unordered_map": "cpp", + "vector": "cpp", + "exception": "cpp", + "algorithm": "cpp", + "functional": "cpp", + "iterator": "cpp", + "memory": "cpp", + "memory_resource": "cpp", + "numeric": "cpp", + "random": "cpp", + "string_view": "cpp", + "system_error": "cpp", + "tuple": "cpp", + "type_traits": "cpp", + "utility": "cpp", + "initializer_list": "cpp", + "iosfwd": "cpp", + "iostream": "cpp", + "istream": "cpp", + "limits": "cpp", + "new": "cpp", + "numbers": "cpp", + "sstream": "cpp", + "stdexcept": "cpp", + "streambuf": "cpp", + "typeinfo": "cpp", + "ctime": "cpp", + "iomanip": "cpp", + "queue": "cpp" + }, + "marscode.chatLanguage": "cn" +} \ No newline at end of file diff --git a/Makefile b/Makefile new file mode 100644 index 0000000..3674c68 --- /dev/null +++ b/Makefile @@ -0,0 +1,50 @@ +MODULE = fpnew_top +SV_SRC = src/common_cells/src/cf_math_pkg.sv src/common_cells/src/lzc.sv src/common_cells/src/rr_arb_tree.sv src/fpnew_pkg.sv $(filter-out src/fpnew_pkg.sv,src/*.sv) +CC_SRC = csrc/*.cpp +SV_DIR = ./src/common_cells/include + +# src/fpnew_pkg.sv $(filter-out src/fpnew_pkg.sv,src/*.sv) + +.PHONY: sim +sim: waveform.vcd + +.PHONY: vld +vld: .stamp.verilate + @echo "\n### Verilator编译完成 ###" + +.PHONY: wave +wave: waveform.vcd + sudo gtkwave $< + +.PHONY: lint +lint: + verilator --lint-only $(SV_SRC) -I$(SV_DIR) + +waveform.vcd: ./obj_dir/V$(MODULE) + @echo "\n### 开始仿真 ###" + @./obj_dir/V$(MODULE) + +./obj_dir/V$(MODULE): .stamp.verilate + @echo "\n### 构建仿真程序 ###" + $(MAKE) -C obj_dir -f V$(MODULE).mk + +.stamp.verilate: $(SV_SRC) $(CC_SRC) + @echo "\n### 生成Verilator代码 ###" + verilator -Wno-fatal --trace --x-assign unique --x-initial unique -cc \ + $(SV_SRC) \ + --exe $(CC_SRC) \ + -I$(SV_DIR) \ + --top-module fpnew_top + @touch $@ + +.PHONY: clean +clean: + rm -rf .stamp.* + rm -rf ./obj_dir + rm -rf waveform.vcd + rm -rf *.log *.vcd + + +# --public-flat-rw \ +# --trace-structs \ +# --trace-depth 5 \ \ No newline at end of file diff --git a/csrc/tb_alu.cpp b/csrc/tb_alu.cpp new file mode 100644 index 0000000..82f8af3 --- /dev/null +++ b/csrc/tb_alu.cpp @@ -0,0 +1,265 @@ +// Verilator Example +// Norbertas Kremeris 2021 +#include +#include +#include +#include +#include +#include +#include "Valu.h" +#include "Valu___024unit.h" + +#define MAX_SIM_TIME 300 +#define VERIF_START_TIME 7 +vluint64_t sim_time = 0; +vluint64_t posedge_cnt = 0; + +// ALU input interface transaction item class +class AluInTx { + public: + uint32_t a; + uint32_t b; + enum Operation { + add = Valu___024unit::operation_t::add, + sub = Valu___024unit::operation_t::sub, + nop = Valu___024unit::operation_t::nop + } op; +}; + +// ALU output interface transaction item class +class AluOutTx { + public: + uint32_t out; +}; + +// ALU scoreboard +class AluScb { + private: + std::deque in_q; + + public: + // Input interface monitor port + void writeIn(AluInTx *tx){ + // Push the received transaction item into a queue for later + in_q.push_back(tx); + } + + // Output interface monitor port + void writeOut(AluOutTx* tx){ + // We should never get any data from the output interface + // before an input gets driven to the input interface + if(in_q.empty()){ + std::cout <<"Fatal Error in AluScb: empty AluInTx queue" << std::endl; + exit(1); + } + + // Grab the transaction item from the front of the input item queue + AluInTx* in; + in = in_q.front(); + in_q.pop_front(); + + switch(in->op){ + // A valid signal should not be created at the output when there is no operation, + // so we should never get a transaction item where the operation is NOP + case AluInTx::nop : + std::cout << "Fatal error in AluScb, received NOP on input" << std::endl; + exit(1); + break; + + // Received transaction is add + case AluInTx::add : + if (in->a + in->b != tx->out) { + std::cout << std::endl; + std::cout << "AluScb: add mismatch" << std::endl; + std::cout << " Expected: " << in->a + in->b + << " Actual: " << tx->out << std::endl; + std::cout << " Simtime: " << sim_time << std::endl; + } + break; + + // Received transaction is sub + case AluInTx::sub : + if (in->a - in->b != tx->out) { + std::cout << std::endl; + std::cout << "AluScb: sub mismatch" << std::endl; + std::cout << " Expected: " << in->a - in->b + << " Actual: " << tx->out << std::endl; + std::cout << " Simtime: " << sim_time << std::endl; + } + break; + } + // As the transaction items were allocated on the heap, it's important + // to free the memory after they have been used + delete in; + delete tx; + } +}; + +// ALU input interface driver +class AluInDrv { + private: + Valu *dut; + public: + AluInDrv(Valu *dut){ + this->dut = dut; + } + + void drive(AluInTx *tx){ + // we always start with in_valid set to 0, and set it to + // 1 later only if necessary + dut->in_valid = 0; + + // Don't drive anything if a transaction item doesn't exist + if(tx != NULL){ + if (tx->op != AluInTx::nop) { + // If the operation is not a NOP, we drive it onto the + // input interface pins + dut->in_valid = 1; + dut->op_in = tx->op; + dut->a_in = tx->a; + dut->b_in = tx->b; + } + // Release the memory by deleting the tx item + // after it has been consumed + delete tx; + } + } +}; + +// ALU input interface monitor +class AluInMon { + private: + Valu *dut; + AluScb *scb; + public: + AluInMon(Valu *dut, AluScb *scb){ + this->dut = dut; + this->scb = scb; + } + + void monitor(){ + if (dut->in_valid == 1) { + // If there is valid data at the input interface, + // create a new AluInTx transaction item and populate + // it with data observed at the interface pins + AluInTx *tx = new AluInTx(); + tx->op = AluInTx::Operation(dut->op_in); + tx->a = dut->a_in; + tx->b = dut->b_in; + + // then pass the transaction item to the scoreboard + scb->writeIn(tx); + } + } +}; + +// ALU output interface monitor +class AluOutMon { + private: + Valu *dut; + AluScb *scb; + public: + AluOutMon(Valu *dut, AluScb *scb){ + this->dut = dut; + this->scb = scb; + } + + void monitor(){ + if (dut->out_valid == 1) { + // If there is valid data at the output interface, + // create a new AluOutTx transaction item and populate + // it with result observed at the interface pins + AluOutTx *tx = new AluOutTx(); + tx->out = dut->out; + + // then pass the transaction item to the scoreboard + scb->writeOut(tx); + } + } +}; + +// ALU random transaction generator +// This will allocate memory for an AluInTx +// transaction item, randomise the data, and +// return a pointer to the transaction item object +AluInTx* rndAluInTx(){ + //20% chance of generating a transaction + if(rand()%5 == 0){ + AluInTx *tx = new AluInTx(); + tx->op = AluInTx::Operation(rand() % 3); // Our ENUM only has entries with values 0, 1, 2 + tx->a = rand() % 11 + 10; // generate a in range 10-20 + tx->b = rand() % 6; // generate b in range 0-5 + return tx; + } else { + return NULL; + } +} + + +void dut_reset (Valu *dut, vluint64_t &sim_time){ + dut->rst_ni = 1; + if(sim_time >= 3 && sim_time < 6){ + dut->rst_ni = 0; + dut->a_in = 0; + dut->b_in = 0; + dut->op_in = 0; + dut->in_valid = 0; + } +} + +int main(int argc, char** argv, char** env) { + srand (time(NULL)); + Verilated::commandArgs(argc, argv); + Valu *dut = new Valu; + + Verilated::traceEverOn(true); + VerilatedVcdC *m_trace = new VerilatedVcdC; + dut->trace(m_trace, 5); + m_trace->open("waveform.vcd"); + + AluInTx *tx; + + // Here we create the driver, scoreboard, input and output monitor blocks + AluInDrv *drv = new AluInDrv(dut); + AluScb *scb = new AluScb(); + AluInMon *inMon = new AluInMon(dut, scb); + AluOutMon *outMon = new AluOutMon(dut, scb); + + while (sim_time < MAX_SIM_TIME) { + dut_reset(dut, sim_time); + dut->clk_i ^= 1; + dut->eval(); + + // Do all the driving/monitoring on a positive edge + if (dut->clk_i == 1){ + + if (sim_time >= VERIF_START_TIME) { + // Generate a randomised transaction item of type AluInTx + tx = rndAluInTx(); + + // Pass the transaction item to the ALU input interface driver, + // which drives the input interface based on the info in the + // transaction item + drv->drive(tx); + + // Monitor the input interface + inMon->monitor(); + + // Monitor the output interface + outMon->monitor(); + } + } + // end of positive edge processing + + m_trace->dump(sim_time); + sim_time++; + } + + m_trace->close(); + delete dut; + delete outMon; + delete inMon; + delete scb; + delete drv; + exit(EXIT_SUCCESS); +} diff --git a/csrc/tb_fpnew.cpp b/csrc/tb_fpnew.cpp new file mode 100644 index 0000000..b7bfcb1 --- /dev/null +++ b/csrc/tb_fpnew.cpp @@ -0,0 +1,189 @@ +#include +#include +#include +#include +#include +#include +#include +#include +#include "Vfpnew_top.h" +// #include "Vfpnew_top___024unit.h" +// #include "Vfpnew_top_fpnew_pkg.h" + +#define MAX_SIM_TIME 100 +#define VERIF_START_TIME 7 +vluint64_t sim_time = 0; +vluint64_t posedge_cnt = 0; + +void dut_reset(Vfpnew_top *dut, vluint64_t &sim_time) { + dut->rst_ni = 1; + if (sim_time >= 3 && sim_time < 6) { + dut->rst_ni = 0; + // 初始化所有输入信号 + dut->operands_i = 0; + dut->rnd_mode_i = 0; // 设置为向最近偶数舍入 + dut->op_i = 3; // 设置为乘法操作 + dut->op_mod_i = 0; + dut->src_fmt_i = 2; // 源格式FP16 + dut->dst_fmt_i = 2; // 目标格式FP16 + dut->int_fmt_i = 2; // 设置一个默认值 + dut->vectorial_op_i = 0; + dut->tag_i = 0; + dut->simd_mask_i = 1; + dut->in_valid_i = 0; + dut->flush_i = 0; + dut->out_ready_i = 1; + } +} + +struct InputPair { + uint16_t a; + uint16_t b; +}; + +uint16_t fp16_multiply(uint16_t a, uint16_t b) { + // 提取符号位 + int sign_a = (a >> 15) & 1; + int sign_b = (b >> 15) & 1; + int sign_result = sign_a ^ sign_b; + + // 提取指数 + int exp_a = (a >> 10) & 0x1F; + int exp_b = (b >> 10) & 0x1F; + + // 提取尾数 + int frac_a = a & 0x3FF; + int frac_b = b & 0x3FF; + + // 特殊情况处理:0, inf, NaN + if (exp_a == 0 && frac_a == 0) return 0; + if (exp_b == 0 && frac_b == 0) return 0; + if (exp_a == 31 || exp_b == 31) return 0x7C00 | (sign_result << 15); // Inf or NaN + + // 正常数字处理 + exp_a = (exp_a == 0) ? 1 : exp_a; + exp_b = (exp_b == 0) ? 1 : exp_b; + frac_a = (exp_a == 0) ? frac_a : (frac_a | 0x400); + frac_b = (exp_b == 0) ? frac_b : (frac_b | 0x400); + + // 计算结果 + int exp_result = exp_a + exp_b - 15; + int64_t frac_result = (int64_t)frac_a * frac_b; + + // 规范化结果 + while (frac_result > 0x7FFFFF) { + frac_result >>= 1; + exp_result++; + } + while (frac_result <= 0x3FFFFF) { + frac_result <<= 1; + exp_result--; + } + + // 舍入(这里使用向零舍入,可以根据需要修改) + frac_result = (frac_result >> 13) & 0x3FF; + + // 处理上溢和下溢 + if (exp_result >= 31) return 0x7C00 | (sign_result << 15); // Inf + if (exp_result <= 0) return 0; // 下溢到0 + + // 组装结果 + return (sign_result << 15) | (exp_result << 10) | frac_result; +} + +std::queue input_queue; +uint16_t generate_random_fp16() { + uint16_t sign = rand() & 0x1; + uint16_t exp = rand() & 0x1F; + uint16_t frac = rand() & 0x3FF; + return (sign << 15) | (exp << 10) | frac; +} + +// 新增:将半精度浮点数转换为浮点数 +float fp16_to_float(uint16_t fp16) { + int sign = (fp16 >> 15) & 0x1; + int exp = (fp16 >> 10) & 0x1F; + int frac = fp16 & 0x3FF; + + if (exp == 0 && frac == 0) return sign ? -0.0f : 0.0f; + if (exp == 0x1F) return frac == 0 ? (sign ? -INFINITY : INFINITY) : NAN; + + float result = (1.0f + (float)frac / 1024.0f) * std::pow(2.0f, exp - 15); + return sign ? -result : result; +} + + +void set_random_input(Vfpnew_top *dut) { + uint16_t fp16_a = generate_random_fp16(); + uint16_t fp16_b = generate_random_fp16(); + dut->operands_i = (uint32_t)fp16_a << 16 | fp16_b; + dut->in_valid_i = 1; + + InputPair pair = {fp16_a, fp16_b}; + input_queue.push(pair); + + std::cout << "Input at time " << sim_time << ": " + << "A = 0x" << std::hex << fp16_a << ", " + << "B = 0x" << fp16_b << std::dec << std::endl; +} + +void check_output(Vfpnew_top *dut, vluint64_t &sim_time) { + if (dut->out_valid_o && !input_queue.empty()) { + InputPair input = input_queue.front(); + input_queue.pop(); + + float a = fp16_to_float(input.a); + float b = fp16_to_float(input.b); + float hw_result = fp16_to_float(dut->result_o); + uint16_t sw_result_fp16 = fp16_multiply(input.a, input.b); + float sw_result = fp16_to_float(sw_result_fp16); + float diff = std::abs(hw_result - sw_result); + + std::cout << "Output at time " << sim_time << std::endl; + std::cout << "Input A: " << std::setprecision(6) << a + << " (0x" << std::hex << input.a << std::dec << ")" << std::endl; + std::cout << "Input B: " << std::setprecision(6) << b + << " (0x" << std::hex << input.b << std::dec << ")" << std::endl; + std::cout << "HW Result: " << std::setprecision(6) << hw_result + << " (0x" << std::hex << dut->result_o << std::dec << ")" << std::endl; + std::cout << "SW Result: " << std::setprecision(6) << sw_result + << " (0x" << std::hex << sw_result_fp16 << std::dec << ")" << std::endl; + std::cout << "Difference: " << std::setprecision(6) << diff << std::endl; + std::cout << "Within tolerance: " << (diff < 1e-2 ? "Yes" : "No") << std::endl; + std::cout << "Status: 0x" << std::hex << (int)dut->status_o << std::dec << std::endl; + std::cout << "------------------------" << std::endl; + } +} + +int main(int argc, char** argv, char** env) { + srand(time(NULL)); + Verilated::commandArgs(argc, argv); + Vfpnew_top *dut = new Vfpnew_top; + + Verilated::traceEverOn(true); + VerilatedVcdC *m_trace = new VerilatedVcdC; + dut->trace(m_trace, 5); + m_trace->open("waveform.vcd"); + + while (sim_time < MAX_SIM_TIME) { + dut_reset(dut, sim_time); + + dut->clk_i ^= 1; + dut->eval(); + + if (dut->clk_i == 1) { + posedge_cnt++; + if (sim_time >= VERIF_START_TIME) { + set_random_input(dut); + } + check_output(dut, sim_time); + } + + m_trace->dump(sim_time); + sim_time++; + } + + m_trace->close(); + delete dut; + exit(EXIT_SUCCESS); +} \ No newline at end of file diff --git a/obj_dir/Vfpnew_top b/obj_dir/Vfpnew_top new file mode 100755 index 0000000..386143c Binary files /dev/null and b/obj_dir/Vfpnew_top differ diff --git a/obj_dir/Vfpnew_top.cpp b/obj_dir/Vfpnew_top.cpp new file mode 100644 index 0000000..e3bfa44 --- /dev/null +++ b/obj_dir/Vfpnew_top.cpp @@ -0,0 +1,159 @@ +// Verilated -*- C++ -*- +// DESCRIPTION: Verilator output: Model implementation (design independent parts) + +#include "Vfpnew_top__pch.h" +#include "verilated_vcd_c.h" + +//============================================================ +// Constructors + +Vfpnew_top::Vfpnew_top(VerilatedContext* _vcontextp__, const char* _vcname__) + : VerilatedModel{*_vcontextp__} + , vlSymsp{new Vfpnew_top__Syms(contextp(), _vcname__, this)} + , clk_i{vlSymsp->TOP.clk_i} + , rst_ni{vlSymsp->TOP.rst_ni} + , rnd_mode_i{vlSymsp->TOP.rnd_mode_i} + , op_i{vlSymsp->TOP.op_i} + , op_mod_i{vlSymsp->TOP.op_mod_i} + , src_fmt_i{vlSymsp->TOP.src_fmt_i} + , dst_fmt_i{vlSymsp->TOP.dst_fmt_i} + , int_fmt_i{vlSymsp->TOP.int_fmt_i} + , vectorial_op_i{vlSymsp->TOP.vectorial_op_i} + , tag_i{vlSymsp->TOP.tag_i} + , simd_mask_i{vlSymsp->TOP.simd_mask_i} + , in_valid_i{vlSymsp->TOP.in_valid_i} + , in_ready_o{vlSymsp->TOP.in_ready_o} + , flush_i{vlSymsp->TOP.flush_i} + , status_o{vlSymsp->TOP.status_o} + , tag_o{vlSymsp->TOP.tag_o} + , out_valid_o{vlSymsp->TOP.out_valid_o} + , out_ready_i{vlSymsp->TOP.out_ready_i} + , busy_o{vlSymsp->TOP.busy_o} + , operands_i{vlSymsp->TOP.operands_i} + , result_o{vlSymsp->TOP.result_o} + , rootp{&(vlSymsp->TOP)} +{ + // Register model with the context + contextp()->addModel(this); + contextp()->traceBaseModelCbAdd( + [this](VerilatedTraceBaseC* tfp, int levels, int options) { traceBaseModel(tfp, levels, options); }); +} + +Vfpnew_top::Vfpnew_top(const char* _vcname__) + : Vfpnew_top(Verilated::threadContextp(), _vcname__) +{ +} + +//============================================================ +// Destructor + +Vfpnew_top::~Vfpnew_top() { + delete vlSymsp; +} + +//============================================================ +// Evaluation function + +#ifdef VL_DEBUG +void Vfpnew_top___024root___eval_debug_assertions(Vfpnew_top___024root* vlSelf); +#endif // VL_DEBUG +void Vfpnew_top___024root___eval_static(Vfpnew_top___024root* vlSelf); +void Vfpnew_top___024root___eval_initial(Vfpnew_top___024root* vlSelf); +void Vfpnew_top___024root___eval_settle(Vfpnew_top___024root* vlSelf); +void Vfpnew_top___024root___eval(Vfpnew_top___024root* vlSelf); + +void Vfpnew_top::eval_step() { + VL_DEBUG_IF(VL_DBG_MSGF("+++++TOP Evaluate Vfpnew_top::eval_step\n"); ); +#ifdef VL_DEBUG + // Debug assertions + Vfpnew_top___024root___eval_debug_assertions(&(vlSymsp->TOP)); +#endif // VL_DEBUG + vlSymsp->__Vm_activity = true; + vlSymsp->__Vm_deleter.deleteAll(); + if (VL_UNLIKELY(!vlSymsp->__Vm_didInit)) { + vlSymsp->__Vm_didInit = true; + VL_DEBUG_IF(VL_DBG_MSGF("+ Initial\n");); + Vfpnew_top___024root___eval_static(&(vlSymsp->TOP)); + Vfpnew_top___024root___eval_initial(&(vlSymsp->TOP)); + Vfpnew_top___024root___eval_settle(&(vlSymsp->TOP)); + } + VL_DEBUG_IF(VL_DBG_MSGF("+ Eval\n");); + Vfpnew_top___024root___eval(&(vlSymsp->TOP)); + // Evaluate cleanup + Verilated::endOfEval(vlSymsp->__Vm_evalMsgQp); +} + +//============================================================ +// Events and timing +bool Vfpnew_top::eventsPending() { return false; } + +uint64_t Vfpnew_top::nextTimeSlot() { + VL_FATAL_MT(__FILE__, __LINE__, "", "No delays in the design"); + return 0; +} + +//============================================================ +// Utilities + +const char* Vfpnew_top::name() const { + return vlSymsp->name(); +} + +//============================================================ +// Invoke final blocks + +void Vfpnew_top___024root___eval_final(Vfpnew_top___024root* vlSelf); + +VL_ATTR_COLD void Vfpnew_top::final() { + Vfpnew_top___024root___eval_final(&(vlSymsp->TOP)); +} + +//============================================================ +// Implementations of abstract methods from VerilatedModel + +const char* Vfpnew_top::hierName() const { return vlSymsp->name(); } +const char* Vfpnew_top::modelName() const { return "Vfpnew_top"; } +unsigned Vfpnew_top::threads() const { return 1; } +void Vfpnew_top::prepareClone() const { contextp()->prepareClone(); } +void Vfpnew_top::atClone() const { + contextp()->threadPoolpOnClone(); +} +std::unique_ptr Vfpnew_top::traceConfig() const { + return std::unique_ptr{new VerilatedTraceConfig{false, false, false}}; +}; + +//============================================================ +// Trace configuration + +void Vfpnew_top___024root__trace_decl_types(VerilatedVcd* tracep); + +void Vfpnew_top___024root__trace_init_top(Vfpnew_top___024root* vlSelf, VerilatedVcd* tracep); + +VL_ATTR_COLD static void trace_init(void* voidSelf, VerilatedVcd* tracep, uint32_t code) { + // Callback from tracep->open() + Vfpnew_top___024root* const __restrict vlSelf VL_ATTR_UNUSED = static_cast(voidSelf); + Vfpnew_top__Syms* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp; + if (!vlSymsp->_vm_contextp__->calcUnusedSigs()) { + VL_FATAL_MT(__FILE__, __LINE__, __FILE__, + "Turning on wave traces requires Verilated::traceEverOn(true) call before time 0."); + } + vlSymsp->__Vm_baseCode = code; + tracep->pushPrefix(std::string{vlSymsp->name()}, VerilatedTracePrefixType::SCOPE_MODULE); + Vfpnew_top___024root__trace_decl_types(tracep); + Vfpnew_top___024root__trace_init_top(vlSelf, tracep); + tracep->popPrefix(); +} + +VL_ATTR_COLD void Vfpnew_top___024root__trace_register(Vfpnew_top___024root* vlSelf, VerilatedVcd* tracep); + +VL_ATTR_COLD void Vfpnew_top::traceBaseModel(VerilatedTraceBaseC* tfp, int levels, int options) { + (void)levels; (void)options; + VerilatedVcdC* const stfp = dynamic_cast(tfp); + if (VL_UNLIKELY(!stfp)) { + vl_fatal(__FILE__, __LINE__, __FILE__,"'Vfpnew_top::trace()' called on non-VerilatedVcdC object;" + " use --trace-fst with VerilatedFst object, and --trace with VerilatedVcd object"); + } + stfp->spTrace()->addModel(this); + stfp->spTrace()->addInitCb(&trace_init, &(vlSymsp->TOP)); + Vfpnew_top___024root__trace_register(&(vlSymsp->TOP), stfp->spTrace()); +} diff --git a/obj_dir/Vfpnew_top.h b/obj_dir/Vfpnew_top.h new file mode 100644 index 0000000..9f3ca62 --- /dev/null +++ b/obj_dir/Vfpnew_top.h @@ -0,0 +1,110 @@ +// Verilated -*- C++ -*- +// DESCRIPTION: Verilator output: Primary model header +// +// This header should be included by all source files instantiating the design. +// The class here is then constructed to instantiate the design. +// See the Verilator manual for examples. + +#ifndef VERILATED_VFPNEW_TOP_H_ +#define VERILATED_VFPNEW_TOP_H_ // guard + +#include "verilated.h" + +class Vfpnew_top__Syms; +class Vfpnew_top___024root; +class VerilatedVcdC; + +// This class is the main interface to the Verilated model +class alignas(VL_CACHE_LINE_BYTES) Vfpnew_top VL_NOT_FINAL : public VerilatedModel { + private: + // Symbol table holding complete model state (owned by this class) + Vfpnew_top__Syms* const vlSymsp; + + public: + + // CONSTEXPR CAPABILITIES + // Verilated with --trace? + static constexpr bool traceCapable = true; + + // PORTS + // The application code writes and reads these signals to + // propagate new values into/out from the Verilated model. + VL_IN8(&clk_i,0,0); + VL_IN8(&rst_ni,0,0); + VL_IN8(&rnd_mode_i,2,0); + VL_IN8(&op_i,3,0); + VL_IN8(&op_mod_i,0,0); + VL_IN8(&src_fmt_i,2,0); + VL_IN8(&dst_fmt_i,2,0); + VL_IN8(&int_fmt_i,1,0); + VL_IN8(&vectorial_op_i,0,0); + VL_IN8(&tag_i,0,0); + VL_IN8(&simd_mask_i,0,0); + VL_IN8(&in_valid_i,0,0); + VL_OUT8(&in_ready_o,0,0); + VL_IN8(&flush_i,0,0); + VL_OUT8(&status_o,4,0); + VL_OUT8(&tag_o,0,0); + VL_OUT8(&out_valid_o,0,0); + VL_IN8(&out_ready_i,0,0); + VL_OUT8(&busy_o,0,0); + VL_IN64(&operands_i,47,0); + VL_OUT16(&result_o,15,0); + + // CELLS + // Public to allow access to /* verilator public */ items. + // Otherwise the application code can consider these internals. + + // Root instance pointer to allow access to model internals, + // including inlined /* verilator public_flat_* */ items. + Vfpnew_top___024root* const rootp; + + // CONSTRUCTORS + /// Construct the model; called by application code + /// If contextp is null, then the model will use the default global context + /// If name is "", then makes a wrapper with a + /// single model invisible with respect to DPI scope names. + explicit Vfpnew_top(VerilatedContext* contextp, const char* name = "TOP"); + explicit Vfpnew_top(const char* name = "TOP"); + /// Destroy the model; called (often implicitly) by application code + virtual ~Vfpnew_top(); + private: + VL_UNCOPYABLE(Vfpnew_top); ///< Copying not allowed + + public: + // API METHODS + /// Evaluate the model. Application must call when inputs change. + void eval() { eval_step(); } + /// Evaluate when calling multiple units/models per time step. + void eval_step(); + /// Evaluate at end of a timestep for tracing, when using eval_step(). + /// Application must call after all eval() and before time changes. + void eval_end_step() {} + /// Simulation complete, run final blocks. Application must call on completion. + void final(); + /// Are there scheduled events to handle? + bool eventsPending(); + /// Returns time at next time slot. Aborts if !eventsPending() + uint64_t nextTimeSlot(); + /// Trace signals in the model; called by application code + void trace(VerilatedTraceBaseC* tfp, int levels, int options = 0) { contextp()->trace(tfp, levels, options); } + /// Retrieve name of this model instance (as passed to constructor). + const char* name() const; + + // Abstract methods from VerilatedModel + const char* hierName() const override final; + const char* modelName() const override final; + unsigned threads() const override final; + /// Prepare for cloning the model at the process level (e.g. fork in Linux) + /// Release necessary resources. Called before cloning. + void prepareClone() const; + /// Re-init after cloning the model at the process level (e.g. fork in Linux) + /// Re-allocate necessary resources. Called after cloning. + void atClone() const; + std::unique_ptr traceConfig() const override final; + private: + // Internal functions - trace registration + void traceBaseModel(VerilatedTraceBaseC* tfp, int levels, int options); +}; + +#endif // guard diff --git a/obj_dir/Vfpnew_top.mk b/obj_dir/Vfpnew_top.mk new file mode 100644 index 0000000..9f756ab --- /dev/null +++ b/obj_dir/Vfpnew_top.mk @@ -0,0 +1,71 @@ +# Verilated -*- Makefile -*- +# DESCRIPTION: Verilator output: Makefile for building Verilated archive or executable +# +# Execute this makefile from the object directory: +# make -f Vfpnew_top.mk + +default: Vfpnew_top + +### Constants... +# Perl executable (from $PERL, defaults to 'perl' if not set) +PERL = perl +# Python3 executable (from $PYTHON3, defaults to 'python3' if not set) +PYTHON3 = python3 +# Path to Verilator kit (from $VERILATOR_ROOT) +VERILATOR_ROOT = /usr/local/share/verilator +# SystemC include directory with systemc.h (from $SYSTEMC_INCLUDE) +SYSTEMC_INCLUDE ?= +# SystemC library directory with libsystemc.a (from $SYSTEMC_LIBDIR) +SYSTEMC_LIBDIR ?= + +### Switches... +# C++ code coverage 0/1 (from --prof-c) +VM_PROFC = 0 +# SystemC output mode? 0/1 (from --sc) +VM_SC = 0 +# Legacy or SystemC output mode? 0/1 (from --sc) +VM_SP_OR_SC = $(VM_SC) +# Deprecated +VM_PCLI = 1 +# Deprecated: SystemC architecture to find link library path (from $SYSTEMC_ARCH) +VM_SC_TARGET_ARCH = linux + +### Vars... +# Design prefix (from --prefix) +VM_PREFIX = Vfpnew_top +# Module prefix (from --prefix) +VM_MODPREFIX = Vfpnew_top +# User CFLAGS (from -CFLAGS on Verilator command line) +VM_USER_CFLAGS = \ + +# User LDLIBS (from -LDFLAGS on Verilator command line) +VM_USER_LDLIBS = \ + +# User .cpp files (from .cpp's on Verilator command line) +VM_USER_CLASSES = \ + tb_fpnew \ + +# User .cpp directories (from .cpp's on Verilator command line) +VM_USER_DIR = \ + .. \ + ../csrc \ + + +### Default rules... +# Include list of all generated classes +include Vfpnew_top_classes.mk +# Include global rules +include $(VERILATOR_ROOT)/include/verilated.mk + +### Executable rules... (from --exe) +VPATH += $(VM_USER_DIR) + +tb_fpnew.o: csrc/tb_fpnew.cpp + $(OBJCACHE) $(CXX) $(CXXFLAGS) $(CPPFLAGS) $(OPT_FAST) -c -o $@ $< + +### Link rules... (from --exe) +Vfpnew_top: $(VK_USER_OBJS) $(VK_GLOBAL_OBJS) $(VM_PREFIX)__ALL.a $(VM_HIER_LIBS) + $(LINK) $(LDFLAGS) $^ $(LOADLIBES) $(LDLIBS) $(LIBS) $(SC_LIBS) -o $@ + + +# Verilated -*- Makefile -*- diff --git a/obj_dir/Vfpnew_top__ALL.a b/obj_dir/Vfpnew_top__ALL.a new file mode 100644 index 0000000..17efa8a Binary files /dev/null and b/obj_dir/Vfpnew_top__ALL.a differ diff --git a/obj_dir/Vfpnew_top__ALL.cpp b/obj_dir/Vfpnew_top__ALL.cpp new file mode 100644 index 0000000..25a2e35 --- /dev/null +++ b/obj_dir/Vfpnew_top__ALL.cpp @@ -0,0 +1,13 @@ +// DESCRIPTION: Generated by verilator_includer via makefile +#define VL_INCLUDE_OPT include +#include "Vfpnew_top.cpp" +#include "Vfpnew_top___024root__DepSet_he2c53f50__0.cpp" +#include "Vfpnew_top___024root__DepSet_h067b37ca__0.cpp" +#include "Vfpnew_top__Trace__0.cpp" +#include "Vfpnew_top__ConstPool_0.cpp" +#include "Vfpnew_top___024root__Slow.cpp" +#include "Vfpnew_top___024root__DepSet_he2c53f50__0__Slow.cpp" +#include "Vfpnew_top___024root__DepSet_h067b37ca__0__Slow.cpp" +#include "Vfpnew_top__Syms.cpp" +#include "Vfpnew_top__Trace__0__Slow.cpp" +#include "Vfpnew_top__TraceDecls__0__Slow.cpp" diff --git a/obj_dir/Vfpnew_top__ALL.d b/obj_dir/Vfpnew_top__ALL.d new file mode 100644 index 0000000..b284015 --- /dev/null +++ b/obj_dir/Vfpnew_top__ALL.d @@ -0,0 +1,16 @@ +Vfpnew_top__ALL.o: Vfpnew_top__ALL.cpp Vfpnew_top.cpp Vfpnew_top__pch.h \ + /usr/local/share/verilator/include/verilated.h \ + /usr/local/share/verilator/include/verilatedos.h \ + /usr/local/share/verilator/include/verilated_config.h \ + /usr/local/share/verilator/include/verilated_types.h \ + /usr/local/share/verilator/include/verilated_funcs.h Vfpnew_top__Syms.h \ + Vfpnew_top.h Vfpnew_top___024root.h \ + /usr/local/share/verilator/include/verilated_vcd_c.h \ + /usr/local/share/verilator/include/verilated.h \ + /usr/local/share/verilator/include/verilated_trace.h \ + Vfpnew_top___024root__DepSet_he2c53f50__0.cpp \ + Vfpnew_top___024root__DepSet_h067b37ca__0.cpp Vfpnew_top__Trace__0.cpp \ + Vfpnew_top__ConstPool_0.cpp Vfpnew_top___024root__Slow.cpp \ + Vfpnew_top___024root__DepSet_he2c53f50__0__Slow.cpp \ + Vfpnew_top___024root__DepSet_h067b37ca__0__Slow.cpp Vfpnew_top__Syms.cpp \ + Vfpnew_top__Trace__0__Slow.cpp Vfpnew_top__TraceDecls__0__Slow.cpp diff --git a/obj_dir/Vfpnew_top__ALL.o b/obj_dir/Vfpnew_top__ALL.o new file mode 100644 index 0000000..75928d5 Binary files /dev/null and b/obj_dir/Vfpnew_top__ALL.o differ diff --git a/obj_dir/Vfpnew_top__ConstPool_0.cpp b/obj_dir/Vfpnew_top__ConstPool_0.cpp new file mode 100644 index 0000000..a863fe1 --- /dev/null +++ b/obj_dir/Vfpnew_top__ConstPool_0.cpp @@ -0,0 +1,38 @@ +// Verilated -*- C++ -*- +// DESCRIPTION: Verilator output: Constant pool +// + +#include "verilated.h" + +extern const VlWide<22>/*703:0*/ Vfpnew_top__ConstPool__CONST_h39767aa4_0 = {{ + 0x00155aa8, 0x00000555, 0x00000000, 0x00000000, + 0x00000000, 0x00000000, 0x00000400, 0x00000000, + 0x00000000, 0x00000000, 0x00000000, 0x00000400, + 0x00000000, 0x00000000, 0x00000000, 0x00000000, + 0x00000400, 0x00000000, 0x00000000, 0x00000000, + 0x00000000, 0x00000000 +}}; + +extern const VlWide<22>/*703:0*/ Vfpnew_top__ConstPool__CONST_h788249eb_0 = {{ + 0x00000003, 0x00000155, 0x00000000, 0x00000000, + 0x00000000, 0x00000000, 0x00000000, 0x00000000, + 0x00000000, 0x00000000, 0x00000000, 0x00000000, + 0x00000000, 0x00000000, 0x00000000, 0x00000000, + 0x00001000, 0x00001000, 0x00001000, 0x00001000, + 0x00001000, 0x00000000 +}}; + +extern const VlWide<10>/*319:0*/ Vfpnew_top__ConstPool__CONST_h895f8a77_0 = {{ + 0x00000007, 0x00000008, 0x00000002, 0x00000005, + 0x0000000a, 0x00000005, 0x00000034, 0x0000000b, + 0x00000017, 0x00000008 +}}; + +extern const VlWide<22>/*703:0*/ Vfpnew_top__ConstPool__CONST_hc2de78b7_0 = {{ + 0xaa955aa8, 0x00000155, 0x00000000, 0x00000000, + 0x00000000, 0x00000000, 0x00000000, 0x00000000, + 0x00000000, 0x00000000, 0x00000000, 0x00000000, + 0x00000000, 0x00000000, 0x00000000, 0x00000000, + 0x00000000, 0x00000000, 0x00000000, 0x00000000, + 0x00000000, 0x00000000 +}}; diff --git a/obj_dir/Vfpnew_top__Syms.cpp b/obj_dir/Vfpnew_top__Syms.cpp new file mode 100644 index 0000000..d38259a --- /dev/null +++ b/obj_dir/Vfpnew_top__Syms.cpp @@ -0,0 +1,28 @@ +// Verilated -*- C++ -*- +// DESCRIPTION: Verilator output: Symbol table implementation internals + +#include "Vfpnew_top__pch.h" +#include "Vfpnew_top.h" +#include "Vfpnew_top___024root.h" + +// FUNCTIONS +Vfpnew_top__Syms::~Vfpnew_top__Syms() +{ +} + +Vfpnew_top__Syms::Vfpnew_top__Syms(VerilatedContext* contextp, const char* namep, Vfpnew_top* modelp) + : VerilatedSyms{contextp} + // Setup internal state of the Syms class + , __Vm_modelp{modelp} + // Setup module instances + , TOP{this, namep} +{ + // Check resources + Verilated::stackCheck(265); + // Configure time unit / time precision + _vm_contextp__->timeunit(-12); + _vm_contextp__->timeprecision(-12); + // Setup each module's pointers to their submodules + // Setup each module's pointer back to symbol table (for public functions) + TOP.__Vconfigure(true); +} diff --git a/obj_dir/Vfpnew_top__Syms.h b/obj_dir/Vfpnew_top__Syms.h new file mode 100644 index 0000000..46f1b77 --- /dev/null +++ b/obj_dir/Vfpnew_top__Syms.h @@ -0,0 +1,40 @@ +// Verilated -*- C++ -*- +// DESCRIPTION: Verilator output: Symbol table internal header +// +// Internal details; most calling programs do not need this header, +// unless using verilator public meta comments. + +#ifndef VERILATED_VFPNEW_TOP__SYMS_H_ +#define VERILATED_VFPNEW_TOP__SYMS_H_ // guard + +#include "verilated.h" + +// INCLUDE MODEL CLASS + +#include "Vfpnew_top.h" + +// INCLUDE MODULE CLASSES +#include "Vfpnew_top___024root.h" + +// SYMS CLASS (contains all model state) +class alignas(VL_CACHE_LINE_BYTES)Vfpnew_top__Syms final : public VerilatedSyms { + public: + // INTERNAL STATE + Vfpnew_top* const __Vm_modelp; + bool __Vm_activity = false; ///< Used by trace routines to determine change occurred + uint32_t __Vm_baseCode = 0; ///< Used by trace routines when tracing multiple models + VlDeleter __Vm_deleter; + bool __Vm_didInit = false; + + // MODULE INSTANCE STATE + Vfpnew_top___024root TOP; + + // CONSTRUCTORS + Vfpnew_top__Syms(VerilatedContext* contextp, const char* namep, Vfpnew_top* modelp); + ~Vfpnew_top__Syms(); + + // METHODS + const char* name() { return TOP.name(); } +}; + +#endif // guard diff --git a/obj_dir/Vfpnew_top__TraceDecls__0__Slow.cpp b/obj_dir/Vfpnew_top__TraceDecls__0__Slow.cpp new file mode 100644 index 0000000..fa9160c --- /dev/null +++ b/obj_dir/Vfpnew_top__TraceDecls__0__Slow.cpp @@ -0,0 +1,11 @@ +// Verilated -*- C++ -*- +// DESCRIPTION: Verilator output: Tracing declarations +#include "verilated_vcd_c.h" + + +void Vfpnew_top___024root__traceDeclTypesSub0(VerilatedVcd* tracep) { +} + +void Vfpnew_top___024root__trace_decl_types(VerilatedVcd* tracep) { + Vfpnew_top___024root__traceDeclTypesSub0(tracep); +} diff --git a/obj_dir/Vfpnew_top__Trace__0.cpp b/obj_dir/Vfpnew_top__Trace__0.cpp new file mode 100644 index 0000000..cde9bf3 --- /dev/null +++ b/obj_dir/Vfpnew_top__Trace__0.cpp @@ -0,0 +1,973 @@ +// Verilated -*- C++ -*- +// DESCRIPTION: Verilator output: Tracing implementation internals +#include "verilated_vcd_c.h" +#include "Vfpnew_top__Syms.h" + + +void Vfpnew_top___024root__trace_chg_0_sub_0(Vfpnew_top___024root* vlSelf, VerilatedVcd::Buffer* bufp); + +void Vfpnew_top___024root__trace_chg_0(void* voidSelf, VerilatedVcd::Buffer* bufp) { + VL_DEBUG_IF(VL_DBG_MSGF("+ Vfpnew_top___024root__trace_chg_0\n"); ); + // Init + Vfpnew_top___024root* const __restrict vlSelf VL_ATTR_UNUSED = static_cast(voidSelf); + Vfpnew_top__Syms* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp; + if (VL_UNLIKELY(!vlSymsp->__Vm_activity)) return; + // Body + Vfpnew_top___024root__trace_chg_0_sub_0((&vlSymsp->TOP), bufp); +} + +void Vfpnew_top___024root__trace_chg_0_sub_0(Vfpnew_top___024root* vlSelf, VerilatedVcd::Buffer* bufp) { + VL_DEBUG_IF(VL_DBG_MSGF("+ Vfpnew_top___024root__trace_chg_0_sub_0\n"); ); + Vfpnew_top__Syms* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp; + auto& vlSelfRef = std::ref(*vlSelf).get(); + // Init + uint32_t* const oldp VL_ATTR_UNUSED = bufp->oldp(vlSymsp->__Vm_baseCode + 1); + VlWide<3>/*95:0*/ __Vtemp_1; + VlWide<4>/*127:0*/ __Vtemp_3; + VlWide<3>/*95:0*/ __Vtemp_4; + VlWide<6>/*191:0*/ __Vtemp_7; + VlWide<3>/*95:0*/ __Vtemp_8; + // Body + if (VL_UNLIKELY((vlSelfRef.__Vm_traceActivity[0U]))) { + bufp->chgCData(oldp+0,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__upper_idx),3); + bufp->chgCData(oldp+1,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_idx),3); + bufp->chgCData(oldp+2,(((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__0__KET__) + ? (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__upper_idx) + : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_idx))),3); + bufp->chgBit(oldp+3,((1U & (~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__0__KET__))))); + bufp->chgBit(oldp+4,((1U & (~ ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp) + >> 4U)))))); + bufp->chgCData(oldp+5,((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__7__KET__) + << 7U) | (((0x20U + & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp) + << 1U)) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__4__KET__) + << 4U) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__) + << 3U))) + | ((4U & + ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp) + >> 2U)) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__) + << 1U) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp) + >> 4U))))))),8); + bufp->chgIData(oldp+6,((0x20000U | ((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__index_nodes__BRA__23__03a21__KET__) + << 0x15U) + | (((4U + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp)) + ? 2U + : 3U) + << 0xcU)) + | (((((1U + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp)) + ? 0U + : 1U) + << 9U) + | (((0x10U + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp)) + ? 4U + : 0U) + << 6U)) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__index_nodes__BRA__5__03a3__KET__) + << 3U) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_idx)))))),24); + bufp->chgCData(oldp+7,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp),5); + bufp->chgCData(oldp+8,((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__7__KET__) + << 7U) | (((0x20U + & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp) + << 1U)) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__4__KET__) + << 4U) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__) + << 3U))) + | ((4U & + ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp) + >> 2U)) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__) + << 1U) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__0__KET__)))))),8); + bufp->chgIData(oldp+9,((0x20000U | ((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__index_nodes__BRA__23__03a21__KET__) + << 0x15U) + | (((4U + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp)) + ? 2U + : 3U) + << 0xcU)) + | (((((1U + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp)) + ? 0U + : 1U) + << 9U) + | (((0x10U + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp)) + ? 4U + : 0U) + << 6U)) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__index_nodes__BRA__5__03a3__KET__) + << 3U) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__upper_idx)))))),24); + bufp->chgCData(oldp+10,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp),5); + bufp->chgCData(oldp+11,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__upper_idx),3); + bufp->chgCData(oldp+12,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_idx),3); + bufp->chgCData(oldp+13,(((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__0__KET__) + ? (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__upper_idx) + : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_idx))),3); + bufp->chgBit(oldp+14,((1U & (~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__0__KET__))))); + bufp->chgBit(oldp+15,((1U & (~ ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp) + >> 4U)))))); + bufp->chgCData(oldp+16,((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__7__KET__) + << 7U) | (((0x20U + & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp) + << 1U)) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__4__KET__) + << 4U) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__) + << 3U))) + | ((4U + & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp) + >> 2U)) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__) + << 1U) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp) + >> 4U))))))),8); + bufp->chgIData(oldp+17,((0x20000U | ((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__index_nodes__BRA__23__03a21__KET__) + << 0x15U) + | (((4U + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp)) + ? 2U + : 3U) + << 0xcU)) + | (((((1U + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp)) + ? 0U + : 1U) + << 9U) + | (((0x10U + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp)) + ? 4U + : 0U) + << 6U)) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__index_nodes__BRA__5__03a3__KET__) + << 3U) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_idx)))))),24); + bufp->chgCData(oldp+18,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp),5); + bufp->chgCData(oldp+19,((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__7__KET__) + << 7U) | (((0x20U + & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp) + << 1U)) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__4__KET__) + << 4U) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__) + << 3U))) + | ((4U + & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp) + >> 2U)) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__) + << 1U) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__0__KET__)))))),8); + bufp->chgIData(oldp+20,((0x20000U | ((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__index_nodes__BRA__23__03a21__KET__) + << 0x15U) + | (((4U + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp)) + ? 2U + : 3U) + << 0xcU)) + | (((((1U + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp)) + ? 0U + : 1U) + << 9U) + | (((0x10U + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp)) + ? 4U + : 0U) + << 6U)) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__index_nodes__BRA__5__03a3__KET__) + << 3U) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__upper_idx)))))),24); + bufp->chgCData(oldp+21,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp),5); + bufp->chgCData(oldp+22,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__upper_idx),3); + bufp->chgCData(oldp+23,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_idx),3); + bufp->chgCData(oldp+24,(((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__0__KET__) + ? (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__upper_idx) + : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_idx))),3); + bufp->chgBit(oldp+25,((1U & (~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__0__KET__))))); + bufp->chgBit(oldp+26,((1U & (~ ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp) + >> 4U)))))); + bufp->chgCData(oldp+27,((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__7__KET__) + << 7U) | (((0x20U + & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp) + << 1U)) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__4__KET__) + << 4U) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__) + << 3U))) + | ((4U + & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp) + >> 2U)) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__) + << 1U) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp) + >> 4U))))))),8); + bufp->chgIData(oldp+28,((0x20000U | ((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__index_nodes__BRA__23__03a21__KET__) + << 0x15U) + | (((4U + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp)) + ? 2U + : 3U) + << 0xcU)) + | (((((1U + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp)) + ? 0U + : 1U) + << 9U) + | (((0x10U + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp)) + ? 4U + : 0U) + << 6U)) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__index_nodes__BRA__5__03a3__KET__) + << 3U) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_idx)))))),24); + bufp->chgCData(oldp+29,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp),5); + bufp->chgCData(oldp+30,((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__7__KET__) + << 7U) | (((0x20U + & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp) + << 1U)) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__4__KET__) + << 4U) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__) + << 3U))) + | ((4U + & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp) + >> 2U)) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__) + << 1U) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__0__KET__)))))),8); + bufp->chgIData(oldp+31,((0x20000U | ((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__index_nodes__BRA__23__03a21__KET__) + << 0x15U) + | (((4U + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp)) + ? 2U + : 3U) + << 0xcU)) + | (((((1U + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp)) + ? 0U + : 1U) + << 9U) + | (((0x10U + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp)) + ? 4U + : 0U) + << 6U)) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__index_nodes__BRA__5__03a3__KET__) + << 3U) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__upper_idx)))))),24); + bufp->chgCData(oldp+32,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp),5); + } + if (VL_UNLIKELY((vlSelfRef.__Vm_traceActivity[1U]))) { + bufp->chgSData(oldp+33,(vlSelfRef.fpnew_top__DOT__is_boxed),15); + bufp->chgBit(oldp+34,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__in_valid)); + bufp->chgSData(oldp+35,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__input_boxed),15); + bufp->chgCData(oldp+36,((7U & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__input_boxed) + >> 6U))),3); + bufp->chgQData(oldp+37,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__local_operands),48); + bufp->chgBit(oldp+39,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__in_valid)); + bufp->chgSData(oldp+40,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__input_boxed),10); + bufp->chgBit(oldp+41,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__in_valid)); + bufp->chgSData(oldp+42,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__input_boxed),10); + bufp->chgBit(oldp+43,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__in_valid)); + bufp->chgSData(oldp+44,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__input_boxed),15); + } + if (VL_UNLIKELY(((vlSelfRef.__Vm_traceActivity[1U] + | vlSelfRef.__Vm_traceActivity + [2U])))) { + bufp->chgCData(oldp+45,((((IData)(vlSelfRef.fpnew_top__DOT____Vcellinp__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__out_ready_i) + << 3U) | (((IData)(vlSelfRef.fpnew_top__DOT____Vcellinp__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__out_ready_i) + << 1U) + | (IData)(vlSelfRef.fpnew_top__DOT____Vcellinp__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__out_ready_i)))),4); + bufp->chgBit(oldp+46,(vlSelfRef.fpnew_top__DOT____Vcellinp__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__out_ready_i)); + bufp->chgCData(oldp+47,(((((~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q)) + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gnt_nodes__BRA__1__KET__)) + << 3U) | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__out_ready) + << 2U))),5); + bufp->chgBit(oldp+48,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__out_ready)); + bufp->chgCData(oldp+49,((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_ready__BRA__2__KET__) + << 2U) | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_ready__BRA__1__KET__) + << 1U) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_ready__BRA__1__KET__)))),3); + bufp->chgCData(oldp+50,((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_ready__BRA__1__KET__) + << 1U) | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__out_ready))),2); + bufp->chgBit(oldp+51,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__gen_inside_pipeline__BRA__0__KET____DOT__reg_ena)); + bufp->chgBit(oldp+52,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__gen_inside_pipeline__BRA__1__KET____DOT__reg_ena)); + bufp->chgBit(oldp+53,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__gen_output_pipeline__BRA__0__KET____DOT__reg_ena)); + bufp->chgCData(oldp+54,((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gnt_nodes__BRA__2__KET__) + << 6U) | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gnt_nodes__BRA__1__KET__) + << 4U) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gnt_nodes__BRA__2__KET__) + << 2U) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gnt_nodes__BRA__1__KET__) + << 1U) + | (IData)(vlSelfRef.fpnew_top__DOT____Vcellinp__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__out_ready_i)))))),7); + bufp->chgCData(oldp+55,((((IData)(vlSelfRef.fpnew_top__DOT____Vcellinp__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__out_ready_i) + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q)) + ? ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__0__KET__) + ? (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__upper_idx) + : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_idx)) + : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__rr_q))),3); + bufp->chgBit(oldp+56,(vlSelfRef.fpnew_top__DOT____Vcellinp__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__out_ready_i)); + bufp->chgCData(oldp+57,((((IData)(vlSelfRef.fpnew_top__DOT____Vcellinp__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__out_ready_i) + << 6U) | (((IData)(vlSelfRef.fpnew_top__DOT____Vcellinp__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__out_ready_i) + << 2U) + | (3U & + VL_SHIFTR_III(2,2,32, + (((IData)(vlSelfRef.fpnew_top__DOT____Vcellinp__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__out_ready_i) + << 1U) + | (IData)(vlSelfRef.fpnew_top__DOT____Vcellinp__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__out_ready_i)), 1U))))),7); + bufp->chgBit(oldp+58,(vlSelfRef.fpnew_top__DOT____Vcellinp__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__out_ready_i)); + bufp->chgCData(oldp+59,((((IData)(vlSelfRef.fpnew_top__DOT____Vcellinp__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__out_ready_i) + << 6U) | (((IData)(vlSelfRef.fpnew_top__DOT____Vcellinp__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__out_ready_i) + << 2U) + | (IData)(vlSelfRef.fpnew_top__DOT____Vcellinp__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__out_ready_i)))),7); + bufp->chgCData(oldp+60,(((IData)(vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gnt_nodes__BRA__1__KET__) + ? (IData)(vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_idx) + : (IData)(vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__rr_q))),2); + } + if (VL_UNLIKELY((vlSelfRef.__Vm_traceActivity[2U]))) { + bufp->chgCData(oldp+61,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q),4); + bufp->chgCData(oldp+62,(((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_valid_q) + | ((0U != (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_valid_q)) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q)))),4); + __Vtemp_1[0U] = ((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q) + ? ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q) + ? (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__slice_result) + << 5U) | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT____Vcellout__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__status_o)) + : 0x1fffffU) : 0U) + << 1U) | (IData)(vlSelfRef.fpnew_top__DOT____Vcellout__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__tag_o)); + __Vtemp_1[1U] = 0U; + __Vtemp_1[2U] = 0U; + bufp->chgWData(oldp+63,(__Vtemp_1),88); + bufp->chgIData(oldp+66,(((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q) + ? vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__data_nodes__BRA__43__03a22__KET__ + : 0U)),22); + bufp->chgSData(oldp+67,(((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q) + ? ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q) + ? (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__slice_result) + : 0xffffU) : 0U)),16); + bufp->chgCData(oldp+68,(((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q) + ? ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q) + ? (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT____Vcellout__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__status_o) + : 0x1fU) : 0U)),5); + bufp->chgBit(oldp+69,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q)); + bufp->chgBit(oldp+70,(vlSelfRef.fpnew_top__DOT____Vcellout__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__tag_o)); + bufp->chgBit(oldp+71,(((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_valid_q) + | ((0U != (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_valid_q)) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q))))); + bufp->chgCData(oldp+72,(((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q) + << 2U)),5); + bufp->chgCData(oldp+73,((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_valid_q) + | ((0U != (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_valid_q)) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q))) + << 2U)),5); + __Vtemp_3[0U] = (IData)((0xbfffffffffffULL + | (((QData)((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT____Vcellout__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__status_o)) + << 0x30U) | ((QData)((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_tag_q)) + << 0x2eU)))); + __Vtemp_3[1U] = (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__slice_result) + << 0x15U) | (IData)(((0xbfffffffffffULL + | (((QData)((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT____Vcellout__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__status_o)) + << 0x30U) + | ((QData)((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_tag_q)) + << 0x2eU))) + >> 0x20U))); + __Vtemp_3[2U] = (0xffffffe0U | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__slice_result) + >> 0xbU)); + __Vtemp_3[3U] = 0x7ffffU; + bufp->chgWData(oldp+74,(__Vtemp_3),115); + bufp->chgIData(oldp+78,(((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q) + ? vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__data_nodes__BRA__114__03a92__KET__ + : 0U)),23); + bufp->chgSData(oldp+79,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__slice_result),16); + bufp->chgCData(oldp+80,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT____Vcellout__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__status_o),5); + bufp->chgBit(oldp+81,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_tag_q)); + bufp->chgSData(oldp+82,(((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_aux_q) + ? 0x81U : 1U)),16); + bufp->chgCData(oldp+83,(((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q) + ? (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_status_q) + : 0U)),5); + bufp->chgBit(oldp+84,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_mask_q)); + bufp->chgBit(oldp+85,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_aux_q)); + bufp->chgSData(oldp+86,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_result_q),16); + bufp->chgCData(oldp+87,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_status_q),5); + bufp->chgQData(oldp+88,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_operands_q),48); + bufp->chgCData(oldp+90,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_is_boxed_q),3); + bufp->chgCData(oldp+91,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_rnd_mode_q),3); + bufp->chgCData(oldp+92,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_op_q),4); + bufp->chgBit(oldp+93,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_op_mod_q)); + bufp->chgBit(oldp+94,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_tag_q)); + bufp->chgBit(oldp+95,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_mask_q)); + bufp->chgBit(oldp+96,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_aux_q)); + bufp->chgBit(oldp+97,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_valid_q)); + bufp->chgIData(oldp+98,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT____Vcellout__i_class_inputs__info_o),24); + bufp->chgSData(oldp+99,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_a),16); + bufp->chgSData(oldp+100,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_b),16); + bufp->chgSData(oldp+101,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_c),16); + bufp->chgCData(oldp+102,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_a),8); + bufp->chgCData(oldp+103,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_b),8); + bufp->chgCData(oldp+104,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_c),8); + bufp->chgBit(oldp+105,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__any_operand_inf)); + bufp->chgBit(oldp+106,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__any_operand_nan)); + bufp->chgBit(oldp+107,((1U & (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_a) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_b) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_c))) + >> 2U)))); + bufp->chgBit(oldp+108,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__effective_subtraction)); + bufp->chgBit(oldp+109,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__tentative_sign)); + bufp->chgSData(oldp+110,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__special_result),16); + bufp->chgCData(oldp+111,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__special_status),5); + bufp->chgBit(oldp+112,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__result_is_special)); + bufp->chgCData(oldp+113,((0x7fU & VL_EXTENDS_II(7,6, + (0x1fU + & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_a) + >> 0xaU))))),7); + bufp->chgCData(oldp+114,((0x7fU & VL_EXTENDS_II(7,6, + (0x1fU + & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_b) + >> 0xaU))))),7); + bufp->chgCData(oldp+115,((0x7fU & VL_EXTENDS_II(7,6, + (0x1fU + & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_c) + >> 0xaU))))),7); + bufp->chgCData(oldp+116,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__exponent_addend),7); + bufp->chgCData(oldp+117,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_prod_q_w),7); + bufp->chgCData(oldp+118,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_diff_q_w),7); + bufp->chgCData(oldp+119,((VL_LTS_III(32, 0U, + VL_EXTENDS_II(32,7, (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_diff_q_w))) + ? (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__exponent_addend) + : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_prod_q_w))),7); + bufp->chgCData(oldp+120,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_add_shamt_q_w),6); + bufp->chgSData(oldp+121,(((0x400U & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_a) + << 3U)) + | (0x3ffU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_a)))),11); + bufp->chgSData(oldp+122,(((0x400U & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_b) + << 3U)) + | (0x3ffU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_b)))),11); + bufp->chgSData(oldp+123,(((0x400U & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_c) + << 3U)) + | (0x3ffU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_c)))),11); + bufp->chgIData(oldp+124,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__product),22); + bufp->chgQData(oldp+125,((0x1fffffffffULL & + VL_SHIFTL_QQI(37,37,32, (QData)((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__product)), 2U))),37); + bufp->chgQData(oldp+127,((0x1fffffffffULL & + (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT____VdfgRegularize_h2c6913e2_2_2 + >> 0xbU))),37); + bufp->chgSData(oldp+129,((0x7ffU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT____VdfgRegularize_h2c6913e2_2_2))),11); + bufp->chgBit(oldp+130,((0U != (0x7ffU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT____VdfgRegularize_h2c6913e2_2_2))))); + bufp->chgQData(oldp+131,((0x1fffffffffULL & + ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__effective_subtraction) + ? (~ (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT____VdfgRegularize_h2c6913e2_2_2 + >> 0xbU)) + : (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT____VdfgRegularize_h2c6913e2_2_2 + >> 0xbU)))),37); + bufp->chgBit(oldp+133,(((~ (IData)((0U != (0x7ffU + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT____VdfgRegularize_h2c6913e2_2_2))))) + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__effective_subtraction)))); + bufp->chgQData(oldp+134,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__sum_pos),38); + bufp->chgQData(oldp+136,((0x3fffffffffULL & + ((0x1fffffffffULL + & (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT____VdfgRegularize_h2c6913e2_2_2 + >> 0xbU)) - + (0x1fffffffffULL + & VL_SHIFTL_QQI(37,37,32, (QData)((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__product)), 2U))))),38); + bufp->chgBit(oldp+138,((1U & (IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__sum_pos + >> 0x25U))))); + bufp->chgQData(oldp+139,((0x1fffffffffULL & + (((~ (IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__sum_pos + >> 0x25U))) + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__effective_subtraction)) + ? ((0x1fffffffffULL + & (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT____VdfgRegularize_h2c6913e2_2_2 + >> 0xbU)) + - (0x1fffffffffULL + & VL_SHIFTL_QQI(37,37,32, (QData)((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__product)), 2U))) + : vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__sum_pos))),37); + bufp->chgBit(oldp+141,((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__effective_subtraction) + & ((1U & (IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__sum_pos + >> 0x25U))) + == (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__tentative_sign))) + | ((~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__effective_subtraction)) + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__tentative_sign))))); + bufp->chgBit(oldp+142,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_eff_sub_q__BRA__0__KET__)); + bufp->chgCData(oldp+143,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_prod_q__BRA__6__03a0__KET__),7); + bufp->chgCData(oldp+144,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_diff_q__BRA__6__03a0__KET__),7); + bufp->chgCData(oldp+145,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_tent_exp_q__BRA__6__03a0__KET__),7); + bufp->chgCData(oldp+146,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_add_shamt_q__BRA__5__03a0__KET__),6); + bufp->chgBit(oldp+147,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sticky_q__BRA__0__KET__)); + bufp->chgQData(oldp+148,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__36__03a0__KET__),37); + bufp->chgBit(oldp+150,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_final_sign_q__BRA__0__KET__)); + bufp->chgCData(oldp+151,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_rnd_mode_q__BRA__2__03a0__KET__),3); + bufp->chgBit(oldp+152,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_res_is_spec_q__BRA__0__KET__)); + bufp->chgSData(oldp+153,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_spec_res_q__BRA__15__03a0__KET__),16); + bufp->chgCData(oldp+154,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_spec_stat_q__BRA__4__03a0__KET__),5); + bufp->chgCData(oldp+155,((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_eff_sub_q__BRA__1__KET__) + << 1U) | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_eff_sub_q__BRA__0__KET__))),2); + bufp->chgSData(oldp+156,((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_prod_q__BRA__13__03a7__KET__) + << 7U) | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_prod_q__BRA__6__03a0__KET__))),14); + bufp->chgSData(oldp+157,((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_diff_q__BRA__13__03a7__KET__) + << 7U) | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_diff_q__BRA__6__03a0__KET__))),14); + bufp->chgSData(oldp+158,((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_tent_exp_q__BRA__13__03a7__KET__) + << 7U) | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_tent_exp_q__BRA__6__03a0__KET__))),14); + bufp->chgSData(oldp+159,((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_add_shamt_q__BRA__11__03a6__KET__) + << 6U) | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_add_shamt_q__BRA__5__03a0__KET__))),12); + bufp->chgCData(oldp+160,((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sticky_q__BRA__1__KET__) + << 1U) | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sticky_q__BRA__0__KET__))),2); + __Vtemp_4[0U] = (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__36__03a0__KET__); + __Vtemp_4[1U] = (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__73__03a37__KET__) + << 5U) | (IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__36__03a0__KET__ + >> 0x20U))); + __Vtemp_4[2U] = (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__73__03a37__KET__) + >> 0x1bU) | ((IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__73__03a37__KET__ + >> 0x20U)) + << 5U)); + bufp->chgWData(oldp+161,(__Vtemp_4),74); + bufp->chgCData(oldp+164,((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_final_sign_q__BRA__1__KET__) + << 1U) | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_final_sign_q__BRA__0__KET__))),2); + bufp->chgCData(oldp+165,((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_rnd_mode_q__BRA__5__03a3__KET__) + << 3U) | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_rnd_mode_q__BRA__2__03a0__KET__))),6); + bufp->chgCData(oldp+166,((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_res_is_spec_q__BRA__1__KET__) + << 1U) | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_res_is_spec_q__BRA__0__KET__))),2); + bufp->chgIData(oldp+167,((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_spec_res_q__BRA__31__03a16__KET__) + << 0x10U) | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_spec_res_q__BRA__15__03a0__KET__))),32); + bufp->chgSData(oldp+168,((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_spec_stat_q__BRA__9__03a5__KET__) + << 5U) | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_spec_stat_q__BRA__4__03a0__KET__))),10); + bufp->chgCData(oldp+169,((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_tag_q__BRA__1__KET__) + << 1U) | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_tag_q__BRA__0__KET__))),2); + bufp->chgCData(oldp+170,((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_mask_q__BRA__1__KET__) + << 1U) | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_mask_q__BRA__0__KET__))),2); + bufp->chgCData(oldp+171,((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_aux_q__BRA__1__KET__) + << 1U) | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_aux_q__BRA__0__KET__))),2); + bufp->chgCData(oldp+172,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_valid_q),2); + bufp->chgIData(oldp+173,((0x1ffffffU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__36__03a0__KET__))),25); + bufp->chgCData(oldp+174,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__leading_zero_count),5); + bufp->chgCData(oldp+175,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__leading_zero_count),6); + bufp->chgBit(oldp+176,((1U & (~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__0__KET__))))); + bufp->chgCData(oldp+177,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__norm_shamt),6); + bufp->chgCData(oldp+178,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__normalized_exponent),7); + bufp->chgQData(oldp+179,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__sum_shifted),38); + bufp->chgSData(oldp+181,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__final_mantissa),12); + bufp->chgIData(oldp+182,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__sum_sticky_bits),25); + bufp->chgBit(oldp+183,(((0U != vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__sum_sticky_bits) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sticky_q__BRA__0__KET__)))); + bufp->chgCData(oldp+184,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__final_exponent),7); + bufp->chgCData(oldp+185,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__pre_round_exponent),5); + bufp->chgSData(oldp+186,((VL_LTES_III(32, 0x1fU, + VL_EXTENDS_II(32,7, (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__final_exponent))) + ? 0x3ffU : (0x3ffU + & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__final_mantissa) + >> 1U)))),10); + bufp->chgSData(oldp+187,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__pre_round_abs),15); + bufp->chgCData(oldp+188,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__round_sticky_bits),2); + bufp->chgBit(oldp+189,(VL_LTES_III(32, 0x1fU, + VL_EXTENDS_II(32,7, (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__final_exponent))))); + bufp->chgBit(oldp+190,((0x1fU == (0x1fU & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__rounded_abs) + >> 0xaU))))); + bufp->chgBit(oldp+191,((0U == VL_EXTENDS_II(32,7, (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__final_exponent))))); + bufp->chgBit(oldp+192,(((0U == (0x1fU & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__rounded_abs) + >> 0xaU))) + | ((0U == (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__pre_round_exponent)) + & (IData)(((0x400U + == (0x7c00U + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__rounded_abs))) + & ((3U + != (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__round_sticky_bits)) + | ((~ + (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__sum_sticky_bits + >> 0x18U)) + & ((0U + == (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_rnd_mode_q__BRA__2__03a0__KET__)) + | (4U + == (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_rnd_mode_q__BRA__2__03a0__KET__))))))))))); + bufp->chgBit(oldp+193,(((0U == (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__pre_round_abs)) + & (0U == (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__round_sticky_bits))))); + bufp->chgBit(oldp+194,(((((0U == (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__pre_round_abs)) + & (0U == (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__round_sticky_bits))) + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_eff_sub_q__BRA__0__KET__)) + ? (2U == (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_rnd_mode_q__BRA__2__03a0__KET__)) + : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_final_sign_q__BRA__0__KET__)))); + bufp->chgSData(oldp+195,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__rounded_abs),15); + bufp->chgSData(oldp+196,(((((((0U == (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__pre_round_abs)) + & (0U == (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__round_sticky_bits))) + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_eff_sub_q__BRA__0__KET__)) + ? (2U == (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_rnd_mode_q__BRA__2__03a0__KET__)) + : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_final_sign_q__BRA__0__KET__)) + << 0xfU) | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__rounded_abs))),16); + bufp->chgSData(oldp+197,(((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_res_is_spec_q__BRA__0__KET__) + ? (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_spec_res_q__BRA__15__03a0__KET__) + : ((((((0U == (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__pre_round_abs)) + & (0U == (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__round_sticky_bits))) + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_eff_sub_q__BRA__0__KET__)) + ? (2U == (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_rnd_mode_q__BRA__2__03a0__KET__)) + : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_final_sign_q__BRA__0__KET__)) + << 0xfU) | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__rounded_abs)))),16); + bufp->chgBit(oldp+198,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_tag_q__BRA__0__KET__)); + bufp->chgBit(oldp+199,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_mask_q__BRA__0__KET__)); + bufp->chgBit(oldp+200,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_aux_q__BRA__0__KET__)); + bufp->chgBit(oldp+201,((1U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_valid_q)))); + bufp->chgSData(oldp+202,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__value),16); + bufp->chgBit(oldp+203,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_boxed)); + bufp->chgBit(oldp+204,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_normal)); + bufp->chgBit(oldp+205,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_inf)); + bufp->chgBit(oldp+206,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_nan)); + bufp->chgBit(oldp+207,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_signalling)); + bufp->chgBit(oldp+208,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_quiet)); + bufp->chgBit(oldp+209,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_zero)); + bufp->chgBit(oldp+210,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_subnormal)); + bufp->chgSData(oldp+211,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__value),16); + bufp->chgBit(oldp+212,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_boxed)); + bufp->chgBit(oldp+213,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_normal)); + bufp->chgBit(oldp+214,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_inf)); + bufp->chgBit(oldp+215,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_nan)); + bufp->chgBit(oldp+216,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_signalling)); + bufp->chgBit(oldp+217,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_quiet)); + bufp->chgBit(oldp+218,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_zero)); + bufp->chgBit(oldp+219,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_subnormal)); + bufp->chgSData(oldp+220,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__value),16); + bufp->chgBit(oldp+221,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_boxed)); + bufp->chgBit(oldp+222,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_normal)); + bufp->chgBit(oldp+223,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_inf)); + bufp->chgBit(oldp+224,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_nan)); + bufp->chgBit(oldp+225,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_signalling)); + bufp->chgBit(oldp+226,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_quiet)); + bufp->chgBit(oldp+227,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_zero)); + bufp->chgBit(oldp+228,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_subnormal)); + bufp->chgBit(oldp+229,(((4U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_rnd_mode_q__BRA__2__03a0__KET__)) + ? ((1U & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_rnd_mode_q__BRA__2__03a0__KET__) + >> 1U)) + || (1U & ((1U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_rnd_mode_q__BRA__2__03a0__KET__)) + ? ((~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__pre_round_abs)) + & (0U + != (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__round_sticky_bits))) + : ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__round_sticky_bits) + >> 1U)))) + : ((2U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_rnd_mode_q__BRA__2__03a0__KET__)) + ? ((1U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_rnd_mode_q__BRA__2__03a0__KET__)) + ? ((0U != (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__round_sticky_bits)) + & (~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_final_sign_q__BRA__0__KET__))) + : ((0U != (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__round_sticky_bits)) + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_final_sign_q__BRA__0__KET__))) + : ((1U & (~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_rnd_mode_q__BRA__2__03a0__KET__))) + && ((1U & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__round_sticky_bits) + >> 1U)) + && ((1U + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__round_sticky_bits)) + || (1U + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__pre_round_abs))))))))); + bufp->chgIData(oldp+230,((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__31__KET__) + << 0x1fU) | (((( + (0x8000000U + & (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp + << 3U)) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__26__KET__) + << 0x1aU)) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__25__KET__) + << 0x19U) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__24__KET__) + << 0x18U))) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__23__KET__) + << 0x17U) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__22__KET__) + << 0x16U) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__21__KET__) + << 0x15U)))) + | ((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__20__KET__) + << 0x14U) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__19__KET__) + << 0x13U) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__18__KET__) + << 0x12U))) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__17__KET__) + << 0x11U) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__16__KET__) + << 0x10U) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__15__KET__) + << 0xfU) + | (((((0x2000U + & (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp + >> 0xbU)) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__12__KET__) + << 0xcU)) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__11__KET__) + << 0xbU) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__10__KET__) + << 0xaU))) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__9__KET__) + << 9U) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__8__KET__) + << 8U) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__7__KET__) + << 7U)))) + | ((((0x40U + & (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp + >> 0x12U)) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__5__KET__) + << 5U)) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__4__KET__) + << 4U) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__) + << 3U))) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__2__KET__) + << 2U) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__) + << 1U) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__0__KET__)))))))))))),32); + bufp->chgWData(oldp+231,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes),160); + bufp->chgIData(oldp+236,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp),25); + bufp->chgCData(oldp+237,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__output_processing__DOT__temp_status),5); + __Vtemp_7[0U] = (IData)((((QData)((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__data_nodes__BRA__114__03a92__KET__)) + << 0x17U) | (QData)((IData)( + ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q) + ? vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__data_nodes__BRA__114__03a92__KET__ + : 0U))))); + __Vtemp_7[1U] = (IData)(((((QData)((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__data_nodes__BRA__114__03a92__KET__)) + << 0x17U) | (QData)((IData)( + ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q) + ? vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__data_nodes__BRA__114__03a92__KET__ + : 0U)))) + >> 0x20U)); + __Vtemp_7[2U] = (0xfffffe0U | ((IData)((0x3fffff800000ULL + | (QData)((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__data_nodes__BRA__114__03a92__KET__)))) + << 0x1cU)); + __Vtemp_7[3U] = (((IData)((0x3fffff800000ULL + | (QData)((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__data_nodes__BRA__114__03a92__KET__)))) + >> 4U) | ((IData)(((0x3fffff800000ULL + | (QData)((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__data_nodes__BRA__114__03a92__KET__))) + >> 0x20U)) + << 0x1cU)); + __Vtemp_7[4U] = ((IData)(((0x3fffff800000ULL + | (QData)((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__data_nodes__BRA__114__03a92__KET__))) + >> 0x20U)) >> 4U); + __Vtemp_7[5U] = 0U; + bufp->chgWData(oldp+238,(__Vtemp_7),161); + bufp->chgCData(oldp+244,((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q) + << 4U) | (3U & (- (IData)((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q)))))),7); + bufp->chgCData(oldp+245,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__rr_q),3); + bufp->chgCData(oldp+246,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__upper_mask),5); + bufp->chgCData(oldp+247,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_mask),5); + bufp->chgCData(oldp+248,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__upper_idx),3); + bufp->chgCData(oldp+249,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_idx),3); + bufp->chgCData(oldp+250,(((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__0__KET__) + ? (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__upper_idx) + : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_idx))),3); + bufp->chgBit(oldp+251,((1U & (~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__0__KET__))))); + bufp->chgBit(oldp+252,((1U & (~ ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp) + >> 4U)))))); + bufp->chgCData(oldp+253,((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__7__KET__) + << 7U) | (((0x20U + & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp) + << 1U)) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__4__KET__) + << 4U) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__) + << 3U))) + | ((4U + & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp) + >> 2U)) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__) + << 1U) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp) + >> 4U))))))),8); + bufp->chgIData(oldp+254,((0x20000U | ((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__index_nodes__BRA__23__03a21__KET__) + << 0x15U) + | (((4U + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp)) + ? 2U + : 3U) + << 0xcU)) + | (((((1U + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp)) + ? 0U + : 1U) + << 9U) + | (((0x10U + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp)) + ? 4U + : 0U) + << 6U)) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__index_nodes__BRA__5__03a3__KET__) + << 3U) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_idx)))))),24); + bufp->chgCData(oldp+255,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp),5); + bufp->chgCData(oldp+256,((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__7__KET__) + << 7U) | (((0x20U + & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp) + << 1U)) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__4__KET__) + << 4U) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__) + << 3U))) + | ((4U + & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp) + >> 2U)) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__) + << 1U) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__0__KET__)))))),8); + bufp->chgIData(oldp+257,((0x20000U | ((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__index_nodes__BRA__23__03a21__KET__) + << 0x15U) + | (((4U + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp)) + ? 2U + : 3U) + << 0xcU)) + | (((((1U + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp)) + ? 0U + : 1U) + << 9U) + | (((0x10U + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp)) + ? 4U + : 0U) + << 6U)) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__index_nodes__BRA__5__03a3__KET__) + << 3U) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__upper_idx)))))),24); + bufp->chgCData(oldp+258,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp),5); + bufp->chgBit(oldp+259,((1U & (~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q))))); + bufp->chgCData(oldp+260,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__rr_q),3); + bufp->chgCData(oldp+261,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__rr_q),3); + bufp->chgCData(oldp+262,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__rr_q),3); + __Vtemp_8[0U] = (IData)((((QData)((IData)(vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__data_nodes__BRA__43__03a22__KET__)) + << 0x16U) | (QData)((IData)( + ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q) + ? vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__data_nodes__BRA__43__03a22__KET__ + : 0U))))); + __Vtemp_8[1U] = (IData)(((((QData)((IData)(vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__data_nodes__BRA__43__03a22__KET__)) + << 0x16U) | (QData)((IData)( + ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q) + ? vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__data_nodes__BRA__43__03a22__KET__ + : 0U)))) + >> 0x20U)); + __Vtemp_8[2U] = 0U; + bufp->chgWData(oldp+263,(__Vtemp_8),66); + bufp->chgCData(oldp+266,((3U & (- (IData)((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q))))),3); + bufp->chgCData(oldp+267,(vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__rr_q),2); + bufp->chgCData(oldp+268,(vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_idx),2); + bufp->chgBit(oldp+269,((1U & (~ ((IData)(vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__) + | (IData)(vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__2__KET__)))))); + bufp->chgCData(oldp+270,(((((IData)(vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__) + << 3U) | ((IData)(vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__2__KET__) + << 2U)) + | (((IData)(vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__) + << 1U) | ((IData)(vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__) + | (IData)(vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__2__KET__))))),4); + bufp->chgCData(oldp+271,(((((IData)(vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__index_nodes__BRA__7__03a6__KET__) + << 6U) | (((4U + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q)) + ? 2U + : 3U) + << 4U)) + | ((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q) + ? 0U : 1U) + << 2U) | (IData)(vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_idx)))),8); + } + bufp->chgBit(oldp+272,(vlSelfRef.clk_i)); + bufp->chgBit(oldp+273,(vlSelfRef.rst_ni)); + bufp->chgQData(oldp+274,(vlSelfRef.operands_i),48); + bufp->chgCData(oldp+276,(vlSelfRef.rnd_mode_i),3); + bufp->chgCData(oldp+277,(vlSelfRef.op_i),4); + bufp->chgBit(oldp+278,(vlSelfRef.op_mod_i)); + bufp->chgCData(oldp+279,(vlSelfRef.src_fmt_i),3); + bufp->chgCData(oldp+280,(vlSelfRef.dst_fmt_i),3); + bufp->chgCData(oldp+281,(vlSelfRef.int_fmt_i),2); + bufp->chgBit(oldp+282,(vlSelfRef.vectorial_op_i)); + bufp->chgBit(oldp+283,(vlSelfRef.tag_i)); + bufp->chgBit(oldp+284,(vlSelfRef.simd_mask_i)); + bufp->chgBit(oldp+285,(vlSelfRef.in_valid_i)); + bufp->chgBit(oldp+286,(vlSelfRef.in_ready_o)); + bufp->chgBit(oldp+287,(vlSelfRef.flush_i)); + bufp->chgSData(oldp+288,(vlSelfRef.result_o),16); + bufp->chgCData(oldp+289,(vlSelfRef.status_o),5); + bufp->chgBit(oldp+290,(vlSelfRef.tag_o)); + bufp->chgBit(oldp+291,(vlSelfRef.out_valid_o)); + bufp->chgBit(oldp+292,(vlSelfRef.out_ready_i)); + bufp->chgBit(oldp+293,(vlSelfRef.busy_o)); + bufp->chgCData(oldp+294,((((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__in_valid) + & ((4U >= (IData)(vlSelfRef.dst_fmt_i)) + ? 0U : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT____Vxrand_h8df6f50b__0))) + << 3U) | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__in_valid) + & ((4U >= (IData)(vlSelfRef.dst_fmt_i)) + ? 0U + : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT____Vxrand_h8df6f50b__0))) + << 2U)) | + ((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__in_valid) + & ((4U >= (IData)(vlSelfRef.dst_fmt_i)) + ? 0U : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT____Vxrand_h8df6f50b__0))) + << 1U) | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__in_valid) + & ((4U >= (IData)(vlSelfRef.dst_fmt_i)) + ? (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_ready__BRA__1__KET__) + << 2U) + >> (IData)(vlSelfRef.dst_fmt_i)) + : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT____Vxrand_h8df6f50b__0)))))),4); + bufp->chgBit(oldp+295,(((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__in_valid) + & ((4U >= (IData)(vlSelfRef.dst_fmt_i)) + ? (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_ready__BRA__1__KET__) + << 2U) >> (IData)(vlSelfRef.dst_fmt_i)) + : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT____Vxrand_h8df6f50b__0))))); + bufp->chgCData(oldp+296,(((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_ready__BRA__1__KET__) + << 2U)),5); + bufp->chgBit(oldp+297,(((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__in_valid) + & (2U == (IData)(vlSelfRef.dst_fmt_i))))); + bufp->chgBit(oldp+298,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_ready__BRA__1__KET__)); + bufp->chgCData(oldp+299,((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_ready__BRA__1__KET__) + << 1U) | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_ready__BRA__2__KET__))),2); + bufp->chgCData(oldp+300,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__regular_status),5); + bufp->chgCData(oldp+301,(((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_res_is_spec_q__BRA__0__KET__) + ? (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_spec_stat_q__BRA__4__03a0__KET__) + : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__regular_status))),5); + bufp->chgBit(oldp+302,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__gen_input_pipeline__BRA__0__KET____DOT__reg_ena)); + bufp->chgCData(oldp+303,((7U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes)),3); + bufp->chgIData(oldp+304,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes),21); + bufp->chgIData(oldp+305,((IData)(vlSelfRef.operands_i)),32); + bufp->chgBit(oldp+306,(((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__in_valid) + & ((4U >= (IData)(vlSelfRef.dst_fmt_i)) + ? 0U : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT____Vxrand_h8df6f50b__0))))); + bufp->chgCData(oldp+307,((7U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes)),3); + bufp->chgIData(oldp+308,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes),21); + bufp->chgBit(oldp+309,(((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__in_valid) + & ((4U >= (IData)(vlSelfRef.dst_fmt_i)) + ? 0U : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT____Vxrand_h8df6f50b__0))))); + bufp->chgCData(oldp+310,((7U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes)),3); + bufp->chgIData(oldp+311,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes),21); + bufp->chgBit(oldp+312,(((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__in_valid) + & ((4U >= (IData)(vlSelfRef.dst_fmt_i)) + ? 0U : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT____Vxrand_h8df6f50b__0))))); + bufp->chgCData(oldp+313,((7U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes)),3); + bufp->chgIData(oldp+314,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes),21); + bufp->chgCData(oldp+315,((3U & (IData)(vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes))),2); + bufp->chgCData(oldp+316,(vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes),6); + bufp->chgCData(oldp+317,((((IData)(vlSelfRef.fpnew_top__DOT____Vcellinp__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__out_ready_i) + << 2U) | (((IData)(vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gnt_nodes__BRA__1__KET__) + << 1U) | (IData)(vlSelfRef.out_ready_i)))),3); +} + +void Vfpnew_top___024root__trace_cleanup(void* voidSelf, VerilatedVcd* /*unused*/) { + VL_DEBUG_IF(VL_DBG_MSGF("+ Vfpnew_top___024root__trace_cleanup\n"); ); + // Init + Vfpnew_top___024root* const __restrict vlSelf VL_ATTR_UNUSED = static_cast(voidSelf); + Vfpnew_top__Syms* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp; + // Body + vlSymsp->__Vm_activity = false; + vlSymsp->TOP.__Vm_traceActivity[0U] = 0U; + vlSymsp->TOP.__Vm_traceActivity[1U] = 0U; + vlSymsp->TOP.__Vm_traceActivity[2U] = 0U; +} diff --git a/obj_dir/Vfpnew_top__Trace__0__Slow.cpp b/obj_dir/Vfpnew_top__Trace__0__Slow.cpp new file mode 100644 index 0000000..c56b07a --- /dev/null +++ b/obj_dir/Vfpnew_top__Trace__0__Slow.cpp @@ -0,0 +1,2529 @@ +// Verilated -*- C++ -*- +// DESCRIPTION: Verilator output: Tracing implementation internals +#include "verilated_vcd_c.h" +#include "Vfpnew_top__Syms.h" + + +VL_ATTR_COLD void Vfpnew_top___024root__trace_init_sub__TOP__fpnew_pkg__0(Vfpnew_top___024root* vlSelf, VerilatedVcd* tracep); +VL_ATTR_COLD void Vfpnew_top___024root__trace_init_sub__TOP__fpnew_pkg__Vdedup0__0(Vfpnew_top___024root* vlSelf, VerilatedVcd* tracep); + +VL_ATTR_COLD void Vfpnew_top___024root__trace_init_sub__TOP__0(Vfpnew_top___024root* vlSelf, VerilatedVcd* tracep) { + VL_DEBUG_IF(VL_DBG_MSGF("+ Vfpnew_top___024root__trace_init_sub__TOP__0\n"); ); + Vfpnew_top__Syms* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp; + auto& vlSelfRef = std::ref(*vlSelf).get(); + // Init + const int c = vlSymsp->__Vm_baseCode; + // Body + tracep->pushPrefix("fpnew_pkg", VerilatedTracePrefixType::SCOPE_MODULE); + Vfpnew_top___024root__trace_init_sub__TOP__fpnew_pkg__0(vlSelf, tracep); + tracep->popPrefix(); + tracep->pushPrefix("fpnew_pkg__Vdedup0", VerilatedTracePrefixType::SCOPE_MODULE); + Vfpnew_top___024root__trace_init_sub__TOP__fpnew_pkg__Vdedup0__0(vlSelf, tracep); + tracep->popPrefix(); + tracep->declBit(c+273,0,"clk_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+274,0,"rst_ni",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declQuad(c+275,0,"operands_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 47,0); + tracep->declBus(c+277,0,"rnd_mode_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 2,0); + tracep->declBus(c+278,0,"op_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 3,0); + tracep->declBit(c+279,0,"op_mod_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+280,0,"src_fmt_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 2,0); + tracep->declBus(c+281,0,"dst_fmt_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 2,0); + tracep->declBus(c+282,0,"int_fmt_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 1,0); + tracep->declBit(c+283,0,"vectorial_op_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+284,0,"tag_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+285,0,"simd_mask_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 0,0); + tracep->declBit(c+286,0,"in_valid_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+287,0,"in_ready_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+288,0,"flush_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+289,0,"result_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 15,0); + tracep->declBus(c+290,0,"status_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 4,0); + tracep->declBit(c+291,0,"tag_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+292,0,"out_valid_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+293,0,"out_ready_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+294,0,"busy_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->pushPrefix("fpnew_top", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declQuad(c+319,0,"Features",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 42,0); + tracep->declArray(c+321,0,"Implementation",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 681,0); + tracep->declBus(c+343,0,"DivSqrtSel",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 1,0); + tracep->declBus(c+344,0,"TrueSIMDClass",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+344,0,"EnableSIMDMask",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+345,0,"NumLanes",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+346,0,"WIDTH",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+347,0,"NUM_OPERANDS",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBit(c+273,0,"clk_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+274,0,"rst_ni",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declQuad(c+275,0,"operands_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 47,0); + tracep->declBus(c+277,0,"rnd_mode_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 2,0); + tracep->declBus(c+278,0,"op_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 3,0); + tracep->declBit(c+279,0,"op_mod_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+280,0,"src_fmt_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 2,0); + tracep->declBus(c+281,0,"dst_fmt_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 2,0); + tracep->declBus(c+282,0,"int_fmt_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 1,0); + tracep->declBit(c+283,0,"vectorial_op_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+284,0,"tag_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+285,0,"simd_mask_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 0,0); + tracep->declBit(c+286,0,"in_valid_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+287,0,"in_ready_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+288,0,"flush_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+289,0,"result_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 15,0); + tracep->declBus(c+290,0,"status_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 4,0); + tracep->declBit(c+291,0,"tag_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+292,0,"out_valid_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+293,0,"out_ready_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+294,0,"busy_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+348,0,"NUM_OPGROUPS",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+349,0,"NUM_FORMATS",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+295,0,"opgrp_in_ready",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 3,0); + tracep->declBus(c+62,0,"opgrp_out_valid",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 3,0); + tracep->declBus(c+46,0,"opgrp_out_ready",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 3,0); + tracep->declBus(c+62,0,"opgrp_ext",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 3,0); + tracep->declBus(c+63,0,"opgrp_busy",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 3,0); + tracep->declArray(c+64,0,"opgrp_outputs",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 87,0); + tracep->declBus(c+34,0,"is_boxed",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 14,0); + tracep->declBus(c+350,0,"simd_mask",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 0,0); + tracep->declBus(c+67,0,"arbiter_output",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 21,0); + tracep->pushPrefix("gen_nanbox_check[0]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+351,0,"FP_WIDTH",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->popPrefix(); + tracep->pushPrefix("gen_nanbox_check[1]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+352,0,"FP_WIDTH",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->popPrefix(); + tracep->pushPrefix("gen_nanbox_check[2]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+346,0,"FP_WIDTH",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->popPrefix(); + tracep->pushPrefix("gen_nanbox_check[3]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+353,0,"FP_WIDTH",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->popPrefix(); + tracep->pushPrefix("gen_nanbox_check[4]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+346,0,"FP_WIDTH",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->popPrefix(); + tracep->pushPrefix("gen_operation_groups[0]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+347,0,"NUM_OPS",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+354,0,"OpGroup",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 1,0); + tracep->declBit(c+355,0,"EnableVectors",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+356,0,"FpFmtMask",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 0,4); + tracep->declBus(c+357,0,"IntFmtMask",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 0,3); + tracep->declArray(c+358,0,"FmtPipeRegs",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 159,0); + tracep->declBus(c+363,0,"FmtUnitTypes",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 9,0); + tracep->declBus(c+364,0,"PipeConfig",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 1,0); + tracep->declBit(c+35,0,"in_valid",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+36,0,"input_boxed",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 14,0); + tracep->pushPrefix("i_opgroup_block", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+354,0,"OpGroup",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 1,0); + tracep->declBus(c+346,0,"Width",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBit(c+355,0,"EnableVectors",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+343,0,"DivSqrtSel",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 1,0); + tracep->declBus(c+356,0,"FpFmtMask",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 0,4); + tracep->declBus(c+357,0,"IntFmtMask",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 0,3); + tracep->declArray(c+358,0,"FmtPipeRegs",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 159,0); + tracep->declBus(c+363,0,"FmtUnitTypes",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 9,0); + tracep->declBus(c+364,0,"PipeConfig",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 1,0); + tracep->declBus(c+344,0,"TrueSIMDClass",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+349,0,"NUM_FORMATS",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+347,0,"NUM_OPERANDS",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+345,0,"NUM_LANES",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBit(c+273,0,"clk_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+274,0,"rst_ni",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declQuad(c+275,0,"operands_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 47,0); + tracep->declBus(c+36,0,"is_boxed_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 14,0); + tracep->declBus(c+277,0,"rnd_mode_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 2,0); + tracep->declBus(c+278,0,"op_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 3,0); + tracep->declBit(c+279,0,"op_mod_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+280,0,"src_fmt_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 2,0); + tracep->declBus(c+281,0,"dst_fmt_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 2,0); + tracep->declBus(c+282,0,"int_fmt_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 1,0); + tracep->declBit(c+283,0,"vectorial_op_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+284,0,"tag_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+365,0,"simd_mask_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 0,0); + tracep->declBit(c+35,0,"in_valid_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+296,0,"in_ready_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+288,0,"flush_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+68,0,"result_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 15,0); + tracep->declBus(c+69,0,"status_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 4,0); + tracep->declBit(c+70,0,"extension_bit_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+71,0,"tag_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+70,0,"out_valid_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+47,0,"out_ready_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+72,0,"busy_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+297,0,"fmt_in_ready",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 4,0); + tracep->declBus(c+73,0,"fmt_out_valid",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 4,0); + tracep->declBus(c+48,0,"fmt_out_ready",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 4,0); + tracep->declBus(c+74,0,"fmt_busy",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 4,0); + tracep->declArray(c+75,0,"fmt_outputs",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 114,0); + tracep->declBus(c+79,0,"arbiter_output",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 22,0); + tracep->pushPrefix("gen_parallel_slices[0]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBit(c+355,0,"ANY_MERGED",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+355,0,"IS_FIRST_MERGED",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1); + tracep->popPrefix(); + tracep->pushPrefix("gen_parallel_slices[1]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBit(c+355,0,"ANY_MERGED",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+355,0,"IS_FIRST_MERGED",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1); + tracep->popPrefix(); + tracep->pushPrefix("gen_parallel_slices[2]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBit(c+355,0,"ANY_MERGED",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+355,0,"IS_FIRST_MERGED",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1); + tracep->pushPrefix("active_format", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+366,0,"FpFormat",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 2,0); + tracep->declBit(c+298,0,"in_valid",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+345,0,"INTERNAL_LANES",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+365,0,"mask_slice",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 0,0); + tracep->pushPrefix("i_fmt_slice", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+354,0,"OpGroup",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 1,0); + tracep->declBus(c+366,0,"FpFormat",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 2,0); + tracep->declBus(c+346,0,"Width",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBit(c+355,0,"EnableVectors",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+348,0,"NumPipeRegs",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+364,0,"PipeConfig",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 1,0); + tracep->declBit(c+355,0,"ExtRegEna",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+344,0,"TrueSIMDClass",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+347,0,"NUM_OPERANDS",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+345,0,"NUM_LANES",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+348,0,"ExtRegEnaWidth",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBit(c+273,0,"clk_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+274,0,"rst_ni",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declQuad(c+275,0,"operands_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 47,0); + tracep->declBus(c+37,0,"is_boxed_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 2,0); + tracep->declBus(c+277,0,"rnd_mode_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 2,0); + tracep->declBus(c+278,0,"op_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 3,0); + tracep->declBit(c+279,0,"op_mod_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+283,0,"vectorial_op_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+284,0,"tag_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+365,0,"simd_mask_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 0,0); + tracep->declBit(c+298,0,"in_valid_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+299,0,"in_ready_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+288,0,"flush_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+80,0,"result_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 15,0); + tracep->declBus(c+81,0,"status_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 4,0); + tracep->declBit(c+365,0,"extension_bit_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+82,0,"tag_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+70,0,"out_valid_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+49,0,"out_ready_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+72,0,"busy_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+367,0,"reg_ena_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 3,0); + tracep->declBus(c+346,0,"FP_WIDTH",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+346,0,"SIMD_WIDTH",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+299,0,"lane_in_ready",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 0,0); + tracep->declBus(c+70,0,"lane_out_valid",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 0,0); + tracep->declBit(c+355,0,"vectorial_op",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+80,0,"slice_result",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 15,0); + tracep->declBus(c+80,0,"slice_regular_result",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 15,0); + tracep->declBus(c+83,0,"slice_class_result",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 15,0); + tracep->declBus(c+368,0,"slice_vec_class_result",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 15,0); + tracep->declBus(c+84,0,"lane_status",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 4,0); + tracep->declBus(c+365,0,"lane_ext_bit",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 0,0); + tracep->declBus(c+369,0,"lane_class_mask",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 9,0); + tracep->declBus(c+82,0,"lane_tags",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 0,0); + tracep->declBus(c+85,0,"lane_masks",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 0,0); + tracep->declBus(c+86,0,"lane_vectorial",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 0,0); + tracep->declBus(c+72,0,"lane_busy",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 0,0); + tracep->declBus(c+355,0,"lane_is_class",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 0,0); + tracep->declBit(c+86,0,"result_is_vector",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+355,0,"result_is_class",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+353,0,"CLASS_VEC_BITS",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->pushPrefix("gen_num_lanes[0]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+80,0,"local_result",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 15,0); + tracep->declBit(c+365,0,"local_sign",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->pushPrefix("active_lane", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBit(c+298,0,"in_valid",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+70,0,"out_valid",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+49,0,"out_ready",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declQuad(c+38,0,"local_operands",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 47,0); + tracep->declBus(c+87,0,"op_result",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 15,0); + tracep->declBus(c+88,0,"op_status",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 4,0); + tracep->pushPrefix("lane_instance", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->pushPrefix("i_fma", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+366,0,"FpFormat",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 2,0); + tracep->declBus(c+348,0,"NumPipeRegs",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+364,0,"PipeConfig",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 1,0); + tracep->declBus(c+346,0,"WIDTH",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+348,0,"ExtRegEnaWidth",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBit(c+273,0,"clk_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+274,0,"rst_ni",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declQuad(c+38,0,"operands_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 47,0); + tracep->declBus(c+37,0,"is_boxed_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 2,0); + tracep->declBus(c+277,0,"rnd_mode_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 2,0); + tracep->declBus(c+278,0,"op_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 3,0); + tracep->declBit(c+279,0,"op_mod_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+284,0,"tag_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+365,0,"mask_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+355,0,"aux_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+298,0,"in_valid_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+299,0,"in_ready_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+288,0,"flush_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+87,0,"result_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 15,0); + tracep->declBus(c+88,0,"status_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 4,0); + tracep->declBit(c+365,0,"extension_bit_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+82,0,"tag_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+85,0,"mask_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+86,0,"aux_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+70,0,"out_valid_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+49,0,"out_ready_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+72,0,"busy_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+367,0,"reg_ena_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 3,0); + tracep->declBus(c+349,0,"EXP_BITS",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+370,0,"MAN_BITS",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+371,0,"BIAS",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+372,0,"PRECISION_BITS",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+373,0,"LOWER_SUM_WIDTH",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+349,0,"LZC_RESULT_WIDTH",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+374,0,"EXP_WIDTH",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+375,0,"SHIFT_AMOUNT_WIDTH",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+376,0,"NUM_INP_REGS",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 31,0); + tracep->declBus(c+377,0,"NUM_MID_REGS",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 31,0); + tracep->declBus(c+376,0,"NUM_OUT_REGS",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 31,0); + tracep->declQuad(c+38,0,"inp_pipe_operands_q_w",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 47,0); + tracep->declBus(c+37,0,"inp_pipe_is_boxed_q_w",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 2,0); + tracep->declBus(c+277,0,"inp_pipe_rnd_mode_q_w",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 2,0); + tracep->declBus(c+278,0,"inp_pipe_op_q_w",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 3,0); + tracep->declBit(c+279,0,"inp_pipe_op_mod_q_w",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+284,0,"inp_pipe_tag_q_w",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+365,0,"inp_pipe_mask_q_w",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+355,0,"inp_pipe_aux_q_w",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+298,0,"inp_pipe_valid_q_w",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declQuad(c+89,0,"inp_pipe_operands_q",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 47,0); + tracep->declBus(c+91,0,"inp_pipe_is_boxed_q",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 2,0); + tracep->declBus(c+92,0,"inp_pipe_rnd_mode_q",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 2,0); + tracep->declBus(c+93,0,"inp_pipe_op_q",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 3,0); + tracep->declBus(c+94,0,"inp_pipe_op_mod_q",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 0,0); + tracep->declBus(c+95,0,"inp_pipe_tag_q",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 0,0); + tracep->declBus(c+96,0,"inp_pipe_mask_q",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 0,0); + tracep->declBus(c+97,0,"inp_pipe_aux_q",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 0,0); + tracep->declBus(c+98,0,"inp_pipe_valid_q",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 0,0); + tracep->declBus(c+300,0,"inp_pipe_ready",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 0,1); + tracep->declBus(c+99,0,"info_q",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 23,0); + tracep->declBus(c+100,0,"operand_a",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 15,0); + tracep->declBus(c+101,0,"operand_b",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 15,0); + tracep->declBus(c+102,0,"operand_c",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 15,0); + tracep->declBus(c+103,0,"info_a",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 7,0); + tracep->declBus(c+104,0,"info_b",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 7,0); + tracep->declBus(c+105,0,"info_c",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 7,0); + tracep->declBit(c+106,0,"any_operand_inf",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+107,0,"any_operand_nan",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+108,0,"signalling_nan",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+109,0,"effective_subtraction",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+110,0,"tentative_sign",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+111,0,"special_result",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 15,0); + tracep->declBus(c+112,0,"special_status",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 4,0); + tracep->declBit(c+113,0,"result_is_special",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+114,0,"exponent_a",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 6,0); + tracep->declBus(c+115,0,"exponent_b",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 6,0); + tracep->declBus(c+116,0,"exponent_c",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 6,0); + tracep->declBus(c+117,0,"exponent_addend",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 6,0); + tracep->declBus(c+118,0,"exponent_product",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 6,0); + tracep->declBus(c+119,0,"exponent_difference",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 6,0); + tracep->declBus(c+120,0,"tentative_exponent",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 6,0); + tracep->declBus(c+121,0,"addend_shamt",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 5,0); + tracep->declBus(c+122,0,"mantissa_a",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 10,0); + tracep->declBus(c+123,0,"mantissa_b",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 10,0); + tracep->declBus(c+124,0,"mantissa_c",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 10,0); + tracep->declBus(c+125,0,"product",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 21,0); + tracep->declQuad(c+126,0,"product_shifted",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 36,0); + tracep->declQuad(c+128,0,"addend_after_shift",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 36,0); + tracep->declBus(c+130,0,"addend_sticky_bits",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 10,0); + tracep->declBit(c+131,0,"sticky_before_add",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declQuad(c+132,0,"addend_shifted",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 36,0); + tracep->declBit(c+134,0,"inject_carry_in",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declQuad(c+135,0,"sum_pos",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 37,0); + tracep->declQuad(c+137,0,"sum_neg",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 37,0); + tracep->declBit(c+139,0,"sum_carry",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declQuad(c+140,0,"sum",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 36,0); + tracep->declBit(c+142,0,"final_sign",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+143,0,"effective_subtraction_q",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+144,0,"exponent_product_q",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 6,0); + tracep->declBus(c+145,0,"exponent_difference_q",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 6,0); + tracep->declBus(c+146,0,"tentative_exponent_q",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 6,0); + tracep->declBus(c+147,0,"addend_shamt_q",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 5,0); + tracep->declBit(c+148,0,"sticky_before_add_q",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declQuad(c+149,0,"sum_q",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 36,0); + tracep->declBit(c+151,0,"final_sign_q",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+152,0,"rnd_mode_q",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 2,0); + tracep->declBit(c+153,0,"result_is_special_q",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+154,0,"special_result_q",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 15,0); + tracep->declBus(c+155,0,"special_status_q",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 4,0); + tracep->declBit(c+109,0,"mid_pipe_eff_sub_q_w",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+118,0,"mid_pipe_exp_prod_q_w",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 6,0); + tracep->declBus(c+119,0,"mid_pipe_exp_diff_q_w",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 6,0); + tracep->declBus(c+120,0,"mid_pipe_tent_exp_q_w",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 6,0); + tracep->declBus(c+121,0,"mid_pipe_add_shamt_q_w",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 5,0); + tracep->declBit(c+131,0,"mid_pipe_sticky_q_w",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declQuad(c+140,0,"mid_pipe_sum_q_w",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 36,0); + tracep->declBit(c+142,0,"mid_pipe_final_sign_q_w",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+92,0,"mid_pipe_rnd_mode_q_w",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 2,0); + tracep->declBit(c+113,0,"mid_pipe_res_is_spec_q_w",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+111,0,"mid_pipe_spec_res_q_w",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 15,0); + tracep->declBus(c+112,0,"mid_pipe_spec_stat_q_w",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 4,0); + tracep->declBit(c+95,0,"mid_pipe_tag_q_w",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+96,0,"mid_pipe_mask_q_w",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+97,0,"mid_pipe_aux_q_w",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+98,0,"mid_pipe_valid_q_w",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+156,0,"mid_pipe_eff_sub_q",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 0,1); + tracep->declBus(c+157,0,"mid_pipe_exp_prod_q",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 13,0); + tracep->declBus(c+158,0,"mid_pipe_exp_diff_q",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 13,0); + tracep->declBus(c+159,0,"mid_pipe_tent_exp_q",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 13,0); + tracep->declBus(c+160,0,"mid_pipe_add_shamt_q",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 11,0); + tracep->declBus(c+161,0,"mid_pipe_sticky_q",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 0,1); + tracep->declArray(c+162,0,"mid_pipe_sum_q",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 73,0); + tracep->declBus(c+165,0,"mid_pipe_final_sign_q",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 0,1); + tracep->declBus(c+166,0,"mid_pipe_rnd_mode_q",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 5,0); + tracep->declBus(c+167,0,"mid_pipe_res_is_spec_q",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 0,1); + tracep->declBus(c+168,0,"mid_pipe_spec_res_q",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 31,0); + tracep->declBus(c+169,0,"mid_pipe_spec_stat_q",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 9,0); + tracep->declBus(c+170,0,"mid_pipe_tag_q",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 1,0); + tracep->declBus(c+171,0,"mid_pipe_mask_q",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 0,1); + tracep->declBus(c+172,0,"mid_pipe_aux_q",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 1,0); + tracep->declBus(c+173,0,"mid_pipe_valid_q",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 0,1); + tracep->declBus(c+50,0,"mid_pipe_ready",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 0,2); + tracep->declBus(c+174,0,"sum_lower",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 24,0); + tracep->declBus(c+175,0,"leading_zero_count",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 4,0); + tracep->declBus(c+176,0,"leading_zero_count_sgn",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 5,0); + tracep->declBit(c+177,0,"lzc_zeroes",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+178,0,"norm_shamt",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 5,0); + tracep->declBus(c+179,0,"normalized_exponent",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 6,0); + tracep->declQuad(c+180,0,"sum_shifted",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 37,0); + tracep->declBus(c+182,0,"final_mantissa",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 11,0); + tracep->declBus(c+183,0,"sum_sticky_bits",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 24,0); + tracep->declBit(c+184,0,"sticky_after_norm",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+185,0,"final_exponent",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 6,0); + tracep->declBit(c+151,0,"pre_round_sign",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+186,0,"pre_round_exponent",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 4,0); + tracep->declBus(c+187,0,"pre_round_mantissa",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 9,0); + tracep->declBus(c+188,0,"pre_round_abs",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 14,0); + tracep->declBus(c+189,0,"round_sticky_bits",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 1,0); + tracep->declBit(c+190,0,"of_before_round",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+191,0,"of_after_round",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+192,0,"uf_before_round",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+193,0,"uf_after_round",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+194,0,"result_zero",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+195,0,"rounded_sign",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+196,0,"rounded_abs",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 14,0); + tracep->declBus(c+197,0,"regular_result",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 15,0); + tracep->declBus(c+301,0,"regular_status",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 4,0); + tracep->declBus(c+198,0,"result_d",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 15,0); + tracep->declBus(c+302,0,"status_d",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 4,0); + tracep->declBus(c+198,0,"out_pipe_result_q_w",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 15,0); + tracep->declBus(c+302,0,"out_pipe_status_q_w",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 4,0); + tracep->declBit(c+199,0,"out_pipe_tag_q_w",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+200,0,"out_pipe_mask_q_w",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+201,0,"out_pipe_aux_q_w",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+202,0,"out_pipe_valid_q_w",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+87,0,"out_pipe_result_q",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 15,0); + tracep->declBus(c+88,0,"out_pipe_status_q",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 4,0); + tracep->declBus(c+82,0,"out_pipe_tag_q",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 0,0); + tracep->declBus(c+85,0,"out_pipe_mask_q",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 0,0); + tracep->declBus(c+86,0,"out_pipe_aux_q",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 0,0); + tracep->declBus(c+70,0,"out_pipe_valid_q",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 0,0); + tracep->declBus(c+51,0,"out_pipe_ready",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 0,1); + tracep->pushPrefix("gen_input_pipeline[0]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBit(c+303,0,"reg_ena",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->popPrefix(); + tracep->pushPrefix("gen_inside_pipeline[0]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBit(c+52,0,"reg_ena",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->popPrefix(); + tracep->pushPrefix("gen_inside_pipeline[1]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBit(c+53,0,"reg_ena",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->popPrefix(); + tracep->pushPrefix("gen_output_pipeline[0]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBit(c+54,0,"reg_ena",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->popPrefix(); + tracep->pushPrefix("i_class_inputs", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+366,0,"FpFormat",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 2,0); + tracep->declBus(c+347,0,"NumOperands",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+346,0,"WIDTH",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declQuad(c+89,0,"operands_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 47,0); + tracep->declBus(c+91,0,"is_boxed_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 2,0); + tracep->declBus(c+99,0,"info_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 23,0); + tracep->declBus(c+349,0,"EXP_BITS",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+370,0,"MAN_BITS",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->pushPrefix("gen_num_values[0]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+203,0,"value",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 15,0); + tracep->declBit(c+204,0,"is_boxed",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+205,0,"is_normal",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+206,0,"is_inf",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+207,0,"is_nan",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+208,0,"is_signalling",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+209,0,"is_quiet",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+210,0,"is_zero",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+211,0,"is_subnormal",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->popPrefix(); + tracep->pushPrefix("gen_num_values[1]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+212,0,"value",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 15,0); + tracep->declBit(c+213,0,"is_boxed",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+214,0,"is_normal",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+215,0,"is_inf",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+216,0,"is_nan",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+217,0,"is_signalling",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+218,0,"is_quiet",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+219,0,"is_zero",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+220,0,"is_subnormal",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->popPrefix(); + tracep->pushPrefix("gen_num_values[2]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+221,0,"value",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 15,0); + tracep->declBit(c+222,0,"is_boxed",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+223,0,"is_normal",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+224,0,"is_inf",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+225,0,"is_nan",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+226,0,"is_signalling",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+227,0,"is_quiet",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+228,0,"is_zero",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+229,0,"is_subnormal",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->pushPrefix("i_fpnew_rounding", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+371,0,"AbsWidth",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+188,0,"abs_value_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 14,0); + tracep->declBit(c+151,0,"sign_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+189,0,"round_sticky_bits_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 1,0); + tracep->declBus(c+152,0,"rnd_mode_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 2,0); + tracep->declBit(c+143,0,"effective_subtraction_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+196,0,"abs_rounded_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 14,0); + tracep->declBit(c+195,0,"sign_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+194,0,"exact_zero_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+230,0,"round_up",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->popPrefix(); + tracep->pushPrefix("i_lzc", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+373,0,"WIDTH",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBit(c+378,0,"MODE",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::BIT, false,-1); + tracep->declBus(c+349,0,"CNT_WIDTH",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+174,0,"in_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 24,0); + tracep->declBus(c+175,0,"cnt_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 4,0); + tracep->declBit(c+177,0,"empty_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->pushPrefix("gen_lzc", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+349,0,"NumLevels",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declArray(c+379,0,"index_lut",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 124,0); + tracep->declBus(c+231,0,"sel_nodes",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 31,0); + tracep->declArray(c+232,0,"index_nodes",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 159,0); + tracep->declBus(c+237,0,"in_tmp",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 24,0); + tracep->pushPrefix("flip_vector", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->pushPrefix("unnamedblk1", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+373,0,"i",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->pushPrefix("prepare_input", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->pushPrefix("unnamedblk1", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+383,0,"i",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->pushPrefix("output_processing", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+238,0,"temp_status",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 4,0); + tracep->pushPrefix("unnamedblk2", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+377,0,"i",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->pushPrefix("unnamedblk1", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+377,0,"b",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->pushPrefix("gen_parallel_slices[3]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBit(c+355,0,"ANY_MERGED",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+355,0,"IS_FIRST_MERGED",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1); + tracep->popPrefix(); + tracep->pushPrefix("gen_parallel_slices[4]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBit(c+355,0,"ANY_MERGED",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+355,0,"IS_FIRST_MERGED",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1); + tracep->popPrefix(); + tracep->pushPrefix("i_arbiter", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+349,0,"NumIn",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+351,0,"DataWidth",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBit(c+384,0,"ExtPrio",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::BIT, false,-1); + tracep->declBit(c+378,0,"AxiVldRdy",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::BIT, false,-1); + tracep->declBit(c+384,0,"LockIn",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::BIT, false,-1); + tracep->declBit(c+378,0,"FairArb",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::BIT, false,-1); + tracep->declBus(c+347,0,"IdxWidth",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBit(c+273,0,"clk_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+274,0,"rst_ni",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+288,0,"flush_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+385,0,"rr_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 2,0); + tracep->declBus(c+73,0,"req_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 4,0); + tracep->declBus(c+48,0,"gnt_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 4,0); + tracep->declArray(c+75,0,"data_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 114,0); + tracep->declBit(c+70,0,"req_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+47,0,"gnt_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+79,0,"data_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 22,0); + tracep->declBus(c+304,0,"idx_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 2,0); + tracep->pushPrefix("gen_arbiter", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+347,0,"NumLevels",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+305,0,"index_nodes",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 20,0); + tracep->declArray(c+239,0,"data_nodes",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 160,0); + tracep->declBus(c+55,0,"gnt_nodes",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 6,0); + tracep->declBus(c+245,0,"req_nodes",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 6,0); + tracep->declBus(c+246,0,"rr_q",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 2,0); + tracep->declBus(c+73,0,"req_d",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 4,0); + tracep->pushPrefix("gen_int_rr", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+56,0,"rr_d",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 2,0); + tracep->pushPrefix("gen_fair_arb", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+247,0,"upper_mask",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 4,0); + tracep->declBus(c+248,0,"lower_mask",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 4,0); + tracep->declBus(c+249,0,"upper_idx",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 2,0); + tracep->declBus(c+250,0,"lower_idx",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 2,0); + tracep->declBus(c+251,0,"next_idx",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 2,0); + tracep->declBit(c+252,0,"upper_empty",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+386,0,"lower_empty",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->pushPrefix("i_lzc_lower", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+349,0,"WIDTH",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBit(c+384,0,"MODE",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::BIT, false,-1); + tracep->declBus(c+347,0,"CNT_WIDTH",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+248,0,"in_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 4,0); + tracep->declBus(c+250,0,"cnt_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 2,0); + tracep->declBit(c+253,0,"empty_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->pushPrefix("gen_lzc", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+347,0,"NumLevels",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+387,0,"index_lut",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 14,0); + tracep->declBus(c+254,0,"sel_nodes",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 7,0); + tracep->declBus(c+255,0,"index_nodes",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 23,0); + tracep->declBus(c+256,0,"in_tmp",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 4,0); + tracep->pushPrefix("flip_vector", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->pushPrefix("unnamedblk1", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+349,0,"i",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->pushPrefix("i_lzc_upper", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+349,0,"WIDTH",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBit(c+384,0,"MODE",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::BIT, false,-1); + tracep->declBus(c+347,0,"CNT_WIDTH",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+247,0,"in_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 4,0); + tracep->declBus(c+249,0,"cnt_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 2,0); + tracep->declBit(c+252,0,"empty_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->pushPrefix("gen_lzc", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+347,0,"NumLevels",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+387,0,"index_lut",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 14,0); + tracep->declBus(c+257,0,"sel_nodes",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 7,0); + tracep->declBus(c+258,0,"index_nodes",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 23,0); + tracep->declBus(c+259,0,"in_tmp",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 4,0); + tracep->pushPrefix("flip_vector", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->pushPrefix("unnamedblk1", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+349,0,"i",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->pushPrefix("gen_levels[0]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->pushPrefix("gen_level[0]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBit(c+260,0,"sel",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+344,0,"Idx0",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+345,0,"Idx1",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->pushPrefix("gen_levels[1]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->pushPrefix("gen_level[0]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBit(c+365,0,"sel",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+345,0,"Idx0",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+347,0,"Idx1",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->popPrefix(); + tracep->pushPrefix("gen_level[1]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBit(c+365,0,"sel",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+388,0,"Idx0",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+349,0,"Idx1",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->pushPrefix("gen_levels[2]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->pushPrefix("gen_level[0]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBit(c+365,0,"sel",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+347,0,"Idx0",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+374,0,"Idx1",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->popPrefix(); + tracep->pushPrefix("gen_level[1]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBit(c+260,0,"sel",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+348,0,"Idx0",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+389,0,"Idx1",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->popPrefix(); + tracep->pushPrefix("gen_level[2]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBit(c+390,0,"sel",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+349,0,"Idx0",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+372,0,"Idx1",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->popPrefix(); + tracep->pushPrefix("gen_level[3]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBit(c+391,0,"sel",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+375,0,"Idx0",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+392,0,"Idx1",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->pushPrefix("slice_inputs", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->pushPrefix("unnamedblk1", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+349,0,"fmt",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->pushPrefix("gen_operation_groups[1]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+388,0,"NUM_OPS",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+393,0,"OpGroup",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 1,0); + tracep->declBit(c+355,0,"EnableVectors",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+356,0,"FpFmtMask",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 0,4); + tracep->declBus(c+357,0,"IntFmtMask",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 0,3); + tracep->declArray(c+394,0,"FmtPipeRegs",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 159,0); + tracep->declBus(c+399,0,"FmtUnitTypes",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 9,0); + tracep->declBus(c+364,0,"PipeConfig",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 1,0); + tracep->declBit(c+40,0,"in_valid",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+41,0,"input_boxed",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 9,0); + tracep->pushPrefix("i_opgroup_block", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+393,0,"OpGroup",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 1,0); + tracep->declBus(c+346,0,"Width",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBit(c+355,0,"EnableVectors",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+343,0,"DivSqrtSel",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 1,0); + tracep->declBus(c+356,0,"FpFmtMask",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 0,4); + tracep->declBus(c+357,0,"IntFmtMask",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 0,3); + tracep->declArray(c+394,0,"FmtPipeRegs",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 159,0); + tracep->declBus(c+399,0,"FmtUnitTypes",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 9,0); + tracep->declBus(c+364,0,"PipeConfig",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 1,0); + tracep->declBus(c+344,0,"TrueSIMDClass",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+349,0,"NUM_FORMATS",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+388,0,"NUM_OPERANDS",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+345,0,"NUM_LANES",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBit(c+273,0,"clk_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+274,0,"rst_ni",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+306,0,"operands_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 31,0); + tracep->declBus(c+41,0,"is_boxed_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 9,0); + tracep->declBus(c+277,0,"rnd_mode_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 2,0); + tracep->declBus(c+278,0,"op_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 3,0); + tracep->declBit(c+279,0,"op_mod_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+280,0,"src_fmt_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 2,0); + tracep->declBus(c+281,0,"dst_fmt_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 2,0); + tracep->declBus(c+282,0,"int_fmt_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 1,0); + tracep->declBit(c+283,0,"vectorial_op_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+284,0,"tag_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+365,0,"simd_mask_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 0,0); + tracep->declBit(c+40,0,"in_valid_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+307,0,"in_ready_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+288,0,"flush_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+400,0,"result_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 15,0); + tracep->declBus(c+401,0,"status_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 4,0); + tracep->declBit(c+355,0,"extension_bit_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+355,0,"tag_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+355,0,"out_valid_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+57,0,"out_ready_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+355,0,"busy_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+401,0,"fmt_in_ready",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 4,0); + tracep->declBus(c+401,0,"fmt_out_valid",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 4,0); + tracep->declBus(c+401,0,"fmt_out_ready",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 4,0); + tracep->declBus(c+401,0,"fmt_busy",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 4,0); + tracep->declArray(c+402,0,"fmt_outputs",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 114,0); + tracep->declBus(c+406,0,"arbiter_output",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 22,0); + tracep->pushPrefix("gen_parallel_slices[0]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBit(c+355,0,"ANY_MERGED",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+355,0,"IS_FIRST_MERGED",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1); + tracep->popPrefix(); + tracep->pushPrefix("gen_parallel_slices[1]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBit(c+355,0,"ANY_MERGED",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+355,0,"IS_FIRST_MERGED",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1); + tracep->popPrefix(); + tracep->pushPrefix("gen_parallel_slices[2]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBit(c+355,0,"ANY_MERGED",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+355,0,"IS_FIRST_MERGED",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1); + tracep->popPrefix(); + tracep->pushPrefix("gen_parallel_slices[3]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBit(c+355,0,"ANY_MERGED",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+355,0,"IS_FIRST_MERGED",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1); + tracep->popPrefix(); + tracep->pushPrefix("gen_parallel_slices[4]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBit(c+355,0,"ANY_MERGED",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+355,0,"IS_FIRST_MERGED",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1); + tracep->popPrefix(); + tracep->pushPrefix("i_arbiter", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+349,0,"NumIn",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+351,0,"DataWidth",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBit(c+384,0,"ExtPrio",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::BIT, false,-1); + tracep->declBit(c+378,0,"AxiVldRdy",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::BIT, false,-1); + tracep->declBit(c+384,0,"LockIn",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::BIT, false,-1); + tracep->declBit(c+378,0,"FairArb",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::BIT, false,-1); + tracep->declBus(c+347,0,"IdxWidth",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBit(c+273,0,"clk_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+274,0,"rst_ni",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+288,0,"flush_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+385,0,"rr_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 2,0); + tracep->declBus(c+401,0,"req_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 4,0); + tracep->declBus(c+401,0,"gnt_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 4,0); + tracep->declArray(c+402,0,"data_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 114,0); + tracep->declBit(c+355,0,"req_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+57,0,"gnt_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+406,0,"data_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 22,0); + tracep->declBus(c+308,0,"idx_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 2,0); + tracep->pushPrefix("gen_arbiter", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+347,0,"NumLevels",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+309,0,"index_nodes",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 20,0); + tracep->declArray(c+407,0,"data_nodes",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 160,0); + tracep->declBus(c+58,0,"gnt_nodes",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 6,0); + tracep->declBus(c+413,0,"req_nodes",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 6,0); + tracep->declBus(c+261,0,"rr_q",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 2,0); + tracep->declBus(c+401,0,"req_d",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 4,0); + tracep->pushPrefix("gen_int_rr", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+261,0,"rr_d",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 2,0); + tracep->pushPrefix("gen_fair_arb", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+401,0,"upper_mask",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 4,0); + tracep->declBus(c+401,0,"lower_mask",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 4,0); + tracep->declBus(c+1,0,"upper_idx",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 2,0); + tracep->declBus(c+2,0,"lower_idx",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 2,0); + tracep->declBus(c+3,0,"next_idx",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 2,0); + tracep->declBit(c+4,0,"upper_empty",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+414,0,"lower_empty",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->pushPrefix("i_lzc_lower", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+349,0,"WIDTH",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBit(c+384,0,"MODE",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::BIT, false,-1); + tracep->declBus(c+347,0,"CNT_WIDTH",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+401,0,"in_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 4,0); + tracep->declBus(c+2,0,"cnt_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 2,0); + tracep->declBit(c+5,0,"empty_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->pushPrefix("gen_lzc", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+347,0,"NumLevels",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+387,0,"index_lut",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 14,0); + tracep->declBus(c+6,0,"sel_nodes",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 7,0); + tracep->declBus(c+7,0,"index_nodes",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 23,0); + tracep->declBus(c+8,0,"in_tmp",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 4,0); + tracep->pushPrefix("flip_vector", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->pushPrefix("unnamedblk1", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+349,0,"i",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->pushPrefix("i_lzc_upper", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+349,0,"WIDTH",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBit(c+384,0,"MODE",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::BIT, false,-1); + tracep->declBus(c+347,0,"CNT_WIDTH",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+401,0,"in_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 4,0); + tracep->declBus(c+1,0,"cnt_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 2,0); + tracep->declBit(c+4,0,"empty_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->pushPrefix("gen_lzc", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+347,0,"NumLevels",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+387,0,"index_lut",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 14,0); + tracep->declBus(c+9,0,"sel_nodes",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 7,0); + tracep->declBus(c+10,0,"index_nodes",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 23,0); + tracep->declBus(c+11,0,"in_tmp",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 4,0); + tracep->pushPrefix("flip_vector", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->pushPrefix("unnamedblk1", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+349,0,"i",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->pushPrefix("gen_levels[0]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->pushPrefix("gen_level[0]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBit(c+365,0,"sel",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+344,0,"Idx0",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+345,0,"Idx1",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->pushPrefix("gen_levels[1]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->pushPrefix("gen_level[0]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBit(c+365,0,"sel",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+345,0,"Idx0",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+347,0,"Idx1",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->popPrefix(); + tracep->pushPrefix("gen_level[1]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBit(c+365,0,"sel",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+388,0,"Idx0",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+349,0,"Idx1",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->pushPrefix("gen_levels[2]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->pushPrefix("gen_level[0]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBit(c+365,0,"sel",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+347,0,"Idx0",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+374,0,"Idx1",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->popPrefix(); + tracep->pushPrefix("gen_level[1]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBit(c+365,0,"sel",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+348,0,"Idx0",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+389,0,"Idx1",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->popPrefix(); + tracep->pushPrefix("gen_level[2]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBit(c+415,0,"sel",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+349,0,"Idx0",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+372,0,"Idx1",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->popPrefix(); + tracep->pushPrefix("gen_level[3]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBit(c+416,0,"sel",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+375,0,"Idx0",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+392,0,"Idx1",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->pushPrefix("slice_inputs", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->pushPrefix("unnamedblk1", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+349,0,"fmt",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->pushPrefix("gen_operation_groups[2]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+388,0,"NUM_OPS",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+417,0,"OpGroup",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 1,0); + tracep->declBit(c+355,0,"EnableVectors",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+356,0,"FpFmtMask",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 0,4); + tracep->declBus(c+357,0,"IntFmtMask",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 0,3); + tracep->declArray(c+394,0,"FmtPipeRegs",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 159,0); + tracep->declBus(c+399,0,"FmtUnitTypes",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 9,0); + tracep->declBus(c+364,0,"PipeConfig",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 1,0); + tracep->declBit(c+42,0,"in_valid",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+43,0,"input_boxed",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 9,0); + tracep->pushPrefix("i_opgroup_block", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+417,0,"OpGroup",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 1,0); + tracep->declBus(c+346,0,"Width",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBit(c+355,0,"EnableVectors",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+343,0,"DivSqrtSel",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 1,0); + tracep->declBus(c+356,0,"FpFmtMask",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 0,4); + tracep->declBus(c+357,0,"IntFmtMask",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 0,3); + tracep->declArray(c+394,0,"FmtPipeRegs",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 159,0); + tracep->declBus(c+399,0,"FmtUnitTypes",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 9,0); + tracep->declBus(c+364,0,"PipeConfig",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 1,0); + tracep->declBus(c+344,0,"TrueSIMDClass",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+349,0,"NUM_FORMATS",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+388,0,"NUM_OPERANDS",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+345,0,"NUM_LANES",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBit(c+273,0,"clk_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+274,0,"rst_ni",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+306,0,"operands_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 31,0); + tracep->declBus(c+43,0,"is_boxed_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 9,0); + tracep->declBus(c+277,0,"rnd_mode_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 2,0); + tracep->declBus(c+278,0,"op_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 3,0); + tracep->declBit(c+279,0,"op_mod_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+280,0,"src_fmt_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 2,0); + tracep->declBus(c+281,0,"dst_fmt_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 2,0); + tracep->declBus(c+282,0,"int_fmt_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 1,0); + tracep->declBit(c+283,0,"vectorial_op_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+284,0,"tag_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+365,0,"simd_mask_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 0,0); + tracep->declBit(c+42,0,"in_valid_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+310,0,"in_ready_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+288,0,"flush_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+400,0,"result_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 15,0); + tracep->declBus(c+401,0,"status_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 4,0); + tracep->declBit(c+355,0,"extension_bit_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+355,0,"tag_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+355,0,"out_valid_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+355,0,"out_ready_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+355,0,"busy_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+401,0,"fmt_in_ready",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 4,0); + tracep->declBus(c+401,0,"fmt_out_valid",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 4,0); + tracep->declBus(c+401,0,"fmt_out_ready",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 4,0); + tracep->declBus(c+401,0,"fmt_busy",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 4,0); + tracep->declArray(c+402,0,"fmt_outputs",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 114,0); + tracep->declBus(c+406,0,"arbiter_output",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 22,0); + tracep->pushPrefix("gen_parallel_slices[0]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBit(c+355,0,"ANY_MERGED",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+355,0,"IS_FIRST_MERGED",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1); + tracep->popPrefix(); + tracep->pushPrefix("gen_parallel_slices[1]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBit(c+355,0,"ANY_MERGED",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+355,0,"IS_FIRST_MERGED",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1); + tracep->popPrefix(); + tracep->pushPrefix("gen_parallel_slices[2]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBit(c+355,0,"ANY_MERGED",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+355,0,"IS_FIRST_MERGED",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1); + tracep->popPrefix(); + tracep->pushPrefix("gen_parallel_slices[3]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBit(c+355,0,"ANY_MERGED",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+355,0,"IS_FIRST_MERGED",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1); + tracep->popPrefix(); + tracep->pushPrefix("gen_parallel_slices[4]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBit(c+355,0,"ANY_MERGED",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+355,0,"IS_FIRST_MERGED",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1); + tracep->popPrefix(); + tracep->pushPrefix("i_arbiter", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+349,0,"NumIn",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+351,0,"DataWidth",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBit(c+384,0,"ExtPrio",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::BIT, false,-1); + tracep->declBit(c+378,0,"AxiVldRdy",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::BIT, false,-1); + tracep->declBit(c+384,0,"LockIn",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::BIT, false,-1); + tracep->declBit(c+378,0,"FairArb",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::BIT, false,-1); + tracep->declBus(c+347,0,"IdxWidth",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBit(c+273,0,"clk_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+274,0,"rst_ni",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+288,0,"flush_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+385,0,"rr_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 2,0); + tracep->declBus(c+401,0,"req_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 4,0); + tracep->declBus(c+401,0,"gnt_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 4,0); + tracep->declArray(c+402,0,"data_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 114,0); + tracep->declBit(c+355,0,"req_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+355,0,"gnt_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+406,0,"data_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 22,0); + tracep->declBus(c+311,0,"idx_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 2,0); + tracep->pushPrefix("gen_arbiter", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+347,0,"NumLevels",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+312,0,"index_nodes",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 20,0); + tracep->declArray(c+407,0,"data_nodes",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 160,0); + tracep->declBus(c+413,0,"gnt_nodes",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 6,0); + tracep->declBus(c+413,0,"req_nodes",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 6,0); + tracep->declBus(c+262,0,"rr_q",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 2,0); + tracep->declBus(c+401,0,"req_d",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 4,0); + tracep->pushPrefix("gen_int_rr", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+262,0,"rr_d",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 2,0); + tracep->pushPrefix("gen_fair_arb", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+401,0,"upper_mask",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 4,0); + tracep->declBus(c+401,0,"lower_mask",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 4,0); + tracep->declBus(c+12,0,"upper_idx",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 2,0); + tracep->declBus(c+13,0,"lower_idx",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 2,0); + tracep->declBus(c+14,0,"next_idx",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 2,0); + tracep->declBit(c+15,0,"upper_empty",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+418,0,"lower_empty",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->pushPrefix("i_lzc_lower", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+349,0,"WIDTH",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBit(c+384,0,"MODE",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::BIT, false,-1); + tracep->declBus(c+347,0,"CNT_WIDTH",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+401,0,"in_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 4,0); + tracep->declBus(c+13,0,"cnt_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 2,0); + tracep->declBit(c+16,0,"empty_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->pushPrefix("gen_lzc", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+347,0,"NumLevels",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+387,0,"index_lut",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 14,0); + tracep->declBus(c+17,0,"sel_nodes",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 7,0); + tracep->declBus(c+18,0,"index_nodes",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 23,0); + tracep->declBus(c+19,0,"in_tmp",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 4,0); + tracep->pushPrefix("flip_vector", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->pushPrefix("unnamedblk1", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+349,0,"i",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->pushPrefix("i_lzc_upper", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+349,0,"WIDTH",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBit(c+384,0,"MODE",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::BIT, false,-1); + tracep->declBus(c+347,0,"CNT_WIDTH",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+401,0,"in_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 4,0); + tracep->declBus(c+12,0,"cnt_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 2,0); + tracep->declBit(c+15,0,"empty_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->pushPrefix("gen_lzc", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+347,0,"NumLevels",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+387,0,"index_lut",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 14,0); + tracep->declBus(c+20,0,"sel_nodes",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 7,0); + tracep->declBus(c+21,0,"index_nodes",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 23,0); + tracep->declBus(c+22,0,"in_tmp",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 4,0); + tracep->pushPrefix("flip_vector", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->pushPrefix("unnamedblk1", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+349,0,"i",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->pushPrefix("gen_levels[0]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->pushPrefix("gen_level[0]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBit(c+365,0,"sel",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+344,0,"Idx0",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+345,0,"Idx1",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->pushPrefix("gen_levels[1]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->pushPrefix("gen_level[0]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBit(c+365,0,"sel",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+345,0,"Idx0",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+347,0,"Idx1",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->popPrefix(); + tracep->pushPrefix("gen_level[1]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBit(c+365,0,"sel",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+388,0,"Idx0",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+349,0,"Idx1",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->pushPrefix("gen_levels[2]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->pushPrefix("gen_level[0]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBit(c+365,0,"sel",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+347,0,"Idx0",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+374,0,"Idx1",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->popPrefix(); + tracep->pushPrefix("gen_level[1]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBit(c+365,0,"sel",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+348,0,"Idx0",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+389,0,"Idx1",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->popPrefix(); + tracep->pushPrefix("gen_level[2]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBit(c+419,0,"sel",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+349,0,"Idx0",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+372,0,"Idx1",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->popPrefix(); + tracep->pushPrefix("gen_level[3]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBit(c+420,0,"sel",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+375,0,"Idx0",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+392,0,"Idx1",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->pushPrefix("slice_inputs", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->pushPrefix("unnamedblk1", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+349,0,"fmt",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->pushPrefix("gen_operation_groups[3]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+347,0,"NUM_OPS",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+421,0,"OpGroup",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 1,0); + tracep->declBit(c+355,0,"EnableVectors",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+356,0,"FpFmtMask",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 0,4); + tracep->declBus(c+357,0,"IntFmtMask",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 0,3); + tracep->declArray(c+394,0,"FmtPipeRegs",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 159,0); + tracep->declBus(c+399,0,"FmtUnitTypes",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 9,0); + tracep->declBus(c+364,0,"PipeConfig",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 1,0); + tracep->declBit(c+44,0,"in_valid",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+45,0,"input_boxed",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 14,0); + tracep->pushPrefix("i_opgroup_block", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+421,0,"OpGroup",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 1,0); + tracep->declBus(c+346,0,"Width",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBit(c+355,0,"EnableVectors",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+343,0,"DivSqrtSel",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 1,0); + tracep->declBus(c+356,0,"FpFmtMask",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 0,4); + tracep->declBus(c+357,0,"IntFmtMask",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 0,3); + tracep->declArray(c+394,0,"FmtPipeRegs",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 159,0); + tracep->declBus(c+399,0,"FmtUnitTypes",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 9,0); + tracep->declBus(c+364,0,"PipeConfig",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 1,0); + tracep->declBus(c+344,0,"TrueSIMDClass",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+349,0,"NUM_FORMATS",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+347,0,"NUM_OPERANDS",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+345,0,"NUM_LANES",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBit(c+273,0,"clk_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+274,0,"rst_ni",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declQuad(c+275,0,"operands_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 47,0); + tracep->declBus(c+45,0,"is_boxed_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 14,0); + tracep->declBus(c+277,0,"rnd_mode_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 2,0); + tracep->declBus(c+278,0,"op_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 3,0); + tracep->declBit(c+279,0,"op_mod_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+280,0,"src_fmt_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 2,0); + tracep->declBus(c+281,0,"dst_fmt_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 2,0); + tracep->declBus(c+282,0,"int_fmt_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 1,0); + tracep->declBit(c+283,0,"vectorial_op_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+284,0,"tag_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+365,0,"simd_mask_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 0,0); + tracep->declBit(c+44,0,"in_valid_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+313,0,"in_ready_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+288,0,"flush_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+400,0,"result_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 15,0); + tracep->declBus(c+401,0,"status_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 4,0); + tracep->declBit(c+355,0,"extension_bit_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+355,0,"tag_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+355,0,"out_valid_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+59,0,"out_ready_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+355,0,"busy_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+401,0,"fmt_in_ready",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 4,0); + tracep->declBus(c+401,0,"fmt_out_valid",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 4,0); + tracep->declBus(c+401,0,"fmt_out_ready",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 4,0); + tracep->declBus(c+401,0,"fmt_busy",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 4,0); + tracep->declArray(c+402,0,"fmt_outputs",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 114,0); + tracep->declBus(c+406,0,"arbiter_output",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 22,0); + tracep->pushPrefix("gen_parallel_slices[0]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBit(c+355,0,"ANY_MERGED",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+355,0,"IS_FIRST_MERGED",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1); + tracep->popPrefix(); + tracep->pushPrefix("gen_parallel_slices[1]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBit(c+355,0,"ANY_MERGED",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+355,0,"IS_FIRST_MERGED",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1); + tracep->popPrefix(); + tracep->pushPrefix("gen_parallel_slices[2]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBit(c+355,0,"ANY_MERGED",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+355,0,"IS_FIRST_MERGED",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1); + tracep->popPrefix(); + tracep->pushPrefix("gen_parallel_slices[3]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBit(c+355,0,"ANY_MERGED",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+355,0,"IS_FIRST_MERGED",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1); + tracep->popPrefix(); + tracep->pushPrefix("gen_parallel_slices[4]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBit(c+355,0,"ANY_MERGED",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+355,0,"IS_FIRST_MERGED",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1); + tracep->popPrefix(); + tracep->pushPrefix("i_arbiter", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+349,0,"NumIn",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+351,0,"DataWidth",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBit(c+384,0,"ExtPrio",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::BIT, false,-1); + tracep->declBit(c+378,0,"AxiVldRdy",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::BIT, false,-1); + tracep->declBit(c+384,0,"LockIn",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::BIT, false,-1); + tracep->declBit(c+378,0,"FairArb",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::BIT, false,-1); + tracep->declBus(c+347,0,"IdxWidth",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBit(c+273,0,"clk_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+274,0,"rst_ni",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+288,0,"flush_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+385,0,"rr_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 2,0); + tracep->declBus(c+401,0,"req_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 4,0); + tracep->declBus(c+401,0,"gnt_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 4,0); + tracep->declArray(c+402,0,"data_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 114,0); + tracep->declBit(c+355,0,"req_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+59,0,"gnt_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+406,0,"data_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 22,0); + tracep->declBus(c+314,0,"idx_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 2,0); + tracep->pushPrefix("gen_arbiter", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+347,0,"NumLevels",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+315,0,"index_nodes",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 20,0); + tracep->declArray(c+407,0,"data_nodes",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 160,0); + tracep->declBus(c+60,0,"gnt_nodes",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 6,0); + tracep->declBus(c+413,0,"req_nodes",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 6,0); + tracep->declBus(c+263,0,"rr_q",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 2,0); + tracep->declBus(c+401,0,"req_d",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 4,0); + tracep->pushPrefix("gen_int_rr", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+263,0,"rr_d",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 2,0); + tracep->pushPrefix("gen_fair_arb", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+401,0,"upper_mask",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 4,0); + tracep->declBus(c+401,0,"lower_mask",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 4,0); + tracep->declBus(c+23,0,"upper_idx",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 2,0); + tracep->declBus(c+24,0,"lower_idx",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 2,0); + tracep->declBus(c+25,0,"next_idx",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 2,0); + tracep->declBit(c+26,0,"upper_empty",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+422,0,"lower_empty",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->pushPrefix("i_lzc_lower", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+349,0,"WIDTH",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBit(c+384,0,"MODE",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::BIT, false,-1); + tracep->declBus(c+347,0,"CNT_WIDTH",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+401,0,"in_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 4,0); + tracep->declBus(c+24,0,"cnt_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 2,0); + tracep->declBit(c+27,0,"empty_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->pushPrefix("gen_lzc", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+347,0,"NumLevels",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+387,0,"index_lut",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 14,0); + tracep->declBus(c+28,0,"sel_nodes",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 7,0); + tracep->declBus(c+29,0,"index_nodes",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 23,0); + tracep->declBus(c+30,0,"in_tmp",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 4,0); + tracep->pushPrefix("flip_vector", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->pushPrefix("unnamedblk1", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+349,0,"i",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->pushPrefix("i_lzc_upper", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+349,0,"WIDTH",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBit(c+384,0,"MODE",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::BIT, false,-1); + tracep->declBus(c+347,0,"CNT_WIDTH",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+401,0,"in_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 4,0); + tracep->declBus(c+23,0,"cnt_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 2,0); + tracep->declBit(c+26,0,"empty_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->pushPrefix("gen_lzc", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+347,0,"NumLevels",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+387,0,"index_lut",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 14,0); + tracep->declBus(c+31,0,"sel_nodes",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 7,0); + tracep->declBus(c+32,0,"index_nodes",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 23,0); + tracep->declBus(c+33,0,"in_tmp",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 4,0); + tracep->pushPrefix("flip_vector", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->pushPrefix("unnamedblk1", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+349,0,"i",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->pushPrefix("gen_levels[0]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->pushPrefix("gen_level[0]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBit(c+365,0,"sel",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+344,0,"Idx0",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+345,0,"Idx1",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->pushPrefix("gen_levels[1]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->pushPrefix("gen_level[0]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBit(c+365,0,"sel",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+345,0,"Idx0",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+347,0,"Idx1",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->popPrefix(); + tracep->pushPrefix("gen_level[1]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBit(c+365,0,"sel",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+388,0,"Idx0",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+349,0,"Idx1",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->pushPrefix("gen_levels[2]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->pushPrefix("gen_level[0]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBit(c+365,0,"sel",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+347,0,"Idx0",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+374,0,"Idx1",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->popPrefix(); + tracep->pushPrefix("gen_level[1]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBit(c+365,0,"sel",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+348,0,"Idx0",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+389,0,"Idx1",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->popPrefix(); + tracep->pushPrefix("gen_level[2]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBit(c+423,0,"sel",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+349,0,"Idx0",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+372,0,"Idx1",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->popPrefix(); + tracep->pushPrefix("gen_level[3]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBit(c+424,0,"sel",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+375,0,"Idx0",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+392,0,"Idx1",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->pushPrefix("slice_inputs", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->pushPrefix("unnamedblk1", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+349,0,"fmt",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->pushPrefix("i_arbiter", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+348,0,"NumIn",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+351,0,"DataWidth",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBit(c+384,0,"ExtPrio",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::BIT, false,-1); + tracep->declBit(c+378,0,"AxiVldRdy",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::BIT, false,-1); + tracep->declBit(c+384,0,"LockIn",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::BIT, false,-1); + tracep->declBit(c+378,0,"FairArb",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::BIT, false,-1); + tracep->declBus(c+388,0,"IdxWidth",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBit(c+273,0,"clk_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+274,0,"rst_ni",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+288,0,"flush_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+425,0,"rr_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 1,0); + tracep->declBus(c+62,0,"req_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 3,0); + tracep->declBus(c+46,0,"gnt_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 3,0); + tracep->declArray(c+64,0,"data_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 87,0); + tracep->declBit(c+292,0,"req_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+293,0,"gnt_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+67,0,"data_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 21,0); + tracep->declBus(c+316,0,"idx_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 1,0); + tracep->pushPrefix("gen_arbiter", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+388,0,"NumLevels",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+317,0,"index_nodes",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 5,0); + tracep->declArray(c+264,0,"data_nodes",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 65,0); + tracep->declBus(c+318,0,"gnt_nodes",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 2,0); + tracep->declBus(c+267,0,"req_nodes",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 2,0); + tracep->declBus(c+268,0,"rr_q",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 1,0); + tracep->declBus(c+62,0,"req_d",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 3,0); + tracep->pushPrefix("gen_int_rr", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+61,0,"rr_d",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 1,0); + tracep->pushPrefix("gen_fair_arb", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+367,0,"upper_mask",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 3,0); + tracep->declBus(c+62,0,"lower_mask",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 3,0); + tracep->declBus(c+426,0,"upper_idx",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 1,0); + tracep->declBus(c+269,0,"lower_idx",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 1,0); + tracep->declBus(c+269,0,"next_idx",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 1,0); + tracep->declBit(c+427,0,"upper_empty",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBit(c+428,0,"lower_empty",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->pushPrefix("i_lzc_lower", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+348,0,"WIDTH",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBit(c+384,0,"MODE",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::BIT, false,-1); + tracep->declBus(c+388,0,"CNT_WIDTH",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+62,0,"in_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 3,0); + tracep->declBus(c+269,0,"cnt_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 1,0); + tracep->declBit(c+270,0,"empty_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->pushPrefix("gen_lzc", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+388,0,"NumLevels",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+429,0,"index_lut",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 7,0); + tracep->declBus(c+271,0,"sel_nodes",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 3,0); + tracep->declBus(c+272,0,"index_nodes",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 7,0); + tracep->declBus(c+62,0,"in_tmp",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 3,0); + tracep->pushPrefix("flip_vector", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->pushPrefix("unnamedblk1", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+348,0,"i",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->pushPrefix("i_lzc_upper", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+348,0,"WIDTH",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBit(c+384,0,"MODE",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::BIT, false,-1); + tracep->declBus(c+388,0,"CNT_WIDTH",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+367,0,"in_i",-1, VerilatedTraceSigDirection::INPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 3,0); + tracep->declBus(c+426,0,"cnt_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1, 1,0); + tracep->declBit(c+427,0,"empty_o",-1, VerilatedTraceSigDirection::OUTPUT, VerilatedTraceSigKind::WIRE, VerilatedTraceSigType::LOGIC, false,-1); + tracep->pushPrefix("gen_lzc", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+388,0,"NumLevels",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+429,0,"index_lut",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 7,0); + tracep->declBus(c+430,0,"sel_nodes",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 3,0); + tracep->declBus(c+431,0,"index_nodes",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 7,0); + tracep->declBus(c+367,0,"in_tmp",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1, 3,0); + tracep->pushPrefix("flip_vector", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->pushPrefix("unnamedblk1", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBus(c+348,0,"i",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->pushPrefix("gen_levels[0]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->pushPrefix("gen_level[0]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBit(c+260,0,"sel",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+344,0,"Idx0",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+345,0,"Idx1",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->pushPrefix("gen_levels[1]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->pushPrefix("gen_level[0]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBit(c+260,0,"sel",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+345,0,"Idx0",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+347,0,"Idx1",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->popPrefix(); + tracep->pushPrefix("gen_level[1]", VerilatedTracePrefixType::SCOPE_MODULE); + tracep->declBit(c+365,0,"sel",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::VAR, VerilatedTraceSigType::LOGIC, false,-1); + tracep->declBus(c+388,0,"Idx0",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+349,0,"Idx1",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->popPrefix(); + tracep->popPrefix(); +} + +VL_ATTR_COLD void Vfpnew_top___024root__trace_init_sub__TOP__fpnew_pkg__Vdedup0__0(Vfpnew_top___024root* vlSelf, VerilatedVcd* tracep) { + VL_DEBUG_IF(VL_DBG_MSGF("+ Vfpnew_top___024root__trace_init_sub__TOP__fpnew_pkg__Vdedup0__0\n"); ); + Vfpnew_top__Syms* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp; + auto& vlSelfRef = std::ref(*vlSelf).get(); + // Init + const int c = vlSymsp->__Vm_baseCode; + // Body + tracep->declBus(c+349,0,"NUM_FP_FORMATS",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+347,0,"FP_FORMAT_BITS",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declArray(c+432,0,"FP_ENCODINGS",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::BIT, false,-1, 319,0); + tracep->declBus(c+442,0,"CPK_FORMATS",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 0,4); + tracep->declBus(c+348,0,"NUM_INT_FORMATS",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+388,0,"INT_FORMAT_BITS",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+348,0,"NUM_OPGROUPS",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+348,0,"OP_BITS",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declQuad(c+443,0,"RV64D",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 42,0); + tracep->declQuad(c+445,0,"RV32D",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 42,0); + tracep->declQuad(c+447,0,"RV32F",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 42,0); + tracep->declQuad(c+449,0,"RV64D_Xsflt",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 42,0); + tracep->declQuad(c+451,0,"RV32F_Xsflt",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 42,0); + tracep->declQuad(c+453,0,"RV32F_Xf16alt_Xfvec",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 42,0); + tracep->declQuad(c+455,0,"FP16_FEATURE",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 42,0); + tracep->declArray(c+457,0,"DEFAULT_NOREGS",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 681,0); + tracep->declArray(c+479,0,"DEFAULT_SNITCH",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 681,0); + tracep->declArray(c+501,0,"FP16_MUL",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 681,0); + tracep->declBit(c+365,0,"DONT_CARE",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1); +} + +VL_ATTR_COLD void Vfpnew_top___024root__trace_init_sub__TOP__fpnew_pkg__0(Vfpnew_top___024root* vlSelf, VerilatedVcd* tracep) { + VL_DEBUG_IF(VL_DBG_MSGF("+ Vfpnew_top___024root__trace_init_sub__TOP__fpnew_pkg__0\n"); ); + Vfpnew_top__Syms* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp; + auto& vlSelfRef = std::ref(*vlSelf).get(); + // Init + const int c = vlSymsp->__Vm_baseCode; + // Body + tracep->declBus(c+349,0,"NUM_FP_FORMATS",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+347,0,"FP_FORMAT_BITS",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declArray(c+523,0,"FP_ENCODINGS",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::BIT, false,-1, 319,0); + tracep->declBus(c+442,0,"CPK_FORMATS",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 0,4); + tracep->declBus(c+348,0,"NUM_INT_FORMATS",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+388,0,"INT_FORMAT_BITS",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+348,0,"NUM_OPGROUPS",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declBus(c+348,0,"OP_BITS",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::INT, false,-1, 31,0); + tracep->declQuad(c+533,0,"RV64D",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 42,0); + tracep->declQuad(c+535,0,"RV32D",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 42,0); + tracep->declQuad(c+537,0,"RV32F",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 42,0); + tracep->declQuad(c+539,0,"RV64D_Xsflt",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 42,0); + tracep->declQuad(c+541,0,"RV32F_Xsflt",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 42,0); + tracep->declQuad(c+543,0,"RV32F_Xf16alt_Xfvec",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 42,0); + tracep->declQuad(c+319,0,"FP16_FEATURE",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 42,0); + tracep->declArray(c+545,0,"DEFAULT_NOREGS",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 681,0); + tracep->declArray(c+567,0,"DEFAULT_SNITCH",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 681,0); + tracep->declArray(c+321,0,"FP16_MUL",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1, 681,0); + tracep->declBit(c+365,0,"DONT_CARE",-1, VerilatedTraceSigDirection::NONE, VerilatedTraceSigKind::PARAMETER, VerilatedTraceSigType::LOGIC, false,-1); +} + +VL_ATTR_COLD void Vfpnew_top___024root__trace_init_top(Vfpnew_top___024root* vlSelf, VerilatedVcd* tracep) { + VL_DEBUG_IF(VL_DBG_MSGF("+ Vfpnew_top___024root__trace_init_top\n"); ); + Vfpnew_top__Syms* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp; + auto& vlSelfRef = std::ref(*vlSelf).get(); + // Body + Vfpnew_top___024root__trace_init_sub__TOP__0(vlSelf, tracep); +} + +VL_ATTR_COLD void Vfpnew_top___024root__trace_const_0(void* voidSelf, VerilatedVcd::Buffer* bufp); +VL_ATTR_COLD void Vfpnew_top___024root__trace_full_0(void* voidSelf, VerilatedVcd::Buffer* bufp); +void Vfpnew_top___024root__trace_chg_0(void* voidSelf, VerilatedVcd::Buffer* bufp); +void Vfpnew_top___024root__trace_cleanup(void* voidSelf, VerilatedVcd* /*unused*/); + +VL_ATTR_COLD void Vfpnew_top___024root__trace_register(Vfpnew_top___024root* vlSelf, VerilatedVcd* tracep) { + VL_DEBUG_IF(VL_DBG_MSGF("+ Vfpnew_top___024root__trace_register\n"); ); + Vfpnew_top__Syms* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp; + auto& vlSelfRef = std::ref(*vlSelf).get(); + // Body + tracep->addConstCb(&Vfpnew_top___024root__trace_const_0, 0U, vlSelf); + tracep->addFullCb(&Vfpnew_top___024root__trace_full_0, 0U, vlSelf); + tracep->addChgCb(&Vfpnew_top___024root__trace_chg_0, 0U, vlSelf); + tracep->addCleanupCb(&Vfpnew_top___024root__trace_cleanup, vlSelf); +} + +VL_ATTR_COLD void Vfpnew_top___024root__trace_const_0_sub_0(Vfpnew_top___024root* vlSelf, VerilatedVcd::Buffer* bufp); + +VL_ATTR_COLD void Vfpnew_top___024root__trace_const_0(void* voidSelf, VerilatedVcd::Buffer* bufp) { + VL_DEBUG_IF(VL_DBG_MSGF("+ Vfpnew_top___024root__trace_const_0\n"); ); + // Init + Vfpnew_top___024root* const __restrict vlSelf VL_ATTR_UNUSED = static_cast(voidSelf); + Vfpnew_top__Syms* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp; + // Body + Vfpnew_top___024root__trace_const_0_sub_0((&vlSymsp->TOP), bufp); +} + +extern const VlWide<22>/*703:0*/ Vfpnew_top__ConstPool__CONST_h788249eb_0; +extern const VlWide<10>/*319:0*/ Vfpnew_top__ConstPool__CONST_h895f8a77_0; +extern const VlWide<22>/*703:0*/ Vfpnew_top__ConstPool__CONST_hc2de78b7_0; +extern const VlWide<22>/*703:0*/ Vfpnew_top__ConstPool__CONST_h39767aa4_0; + +VL_ATTR_COLD void Vfpnew_top___024root__trace_const_0_sub_0(Vfpnew_top___024root* vlSelf, VerilatedVcd::Buffer* bufp) { + VL_DEBUG_IF(VL_DBG_MSGF("+ Vfpnew_top___024root__trace_const_0_sub_0\n"); ); + Vfpnew_top__Syms* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp; + auto& vlSelfRef = std::ref(*vlSelf).get(); + // Init + uint32_t* const oldp VL_ATTR_UNUSED = bufp->oldp(vlSymsp->__Vm_baseCode); + VlWide<5>/*159:0*/ __Vtemp_1; + VlWide<4>/*127:0*/ __Vtemp_2; + VlWide<5>/*159:0*/ __Vtemp_3; + VlWide<4>/*127:0*/ __Vtemp_4; + VlWide<6>/*191:0*/ __Vtemp_5; + // Body + bufp->fullQData(oldp+319,(0x8240ULL),43); + bufp->fullWData(oldp+321,(Vfpnew_top__ConstPool__CONST_h788249eb_0),682); + bufp->fullCData(oldp+343,(2U),2); + bufp->fullIData(oldp+344,(0U),32); + bufp->fullIData(oldp+345,(1U),32); + bufp->fullIData(oldp+346,(0x10U),32); + bufp->fullIData(oldp+347,(3U),32); + bufp->fullIData(oldp+348,(4U),32); + bufp->fullIData(oldp+349,(5U),32); + bufp->fullBit(oldp+350,(1U)); + bufp->fullIData(oldp+351,(0x20U),32); + bufp->fullIData(oldp+352,(0x40U),32); + bufp->fullIData(oldp+353,(8U),32); + bufp->fullCData(oldp+354,(0U),2); + bufp->fullBit(oldp+355,(0U)); + bufp->fullCData(oldp+356,(4U),5); + bufp->fullCData(oldp+357,(0U),4); + __Vtemp_1[0U] = 4U; + __Vtemp_1[1U] = 4U; + __Vtemp_1[2U] = 4U; + __Vtemp_1[3U] = 4U; + __Vtemp_1[4U] = 4U; + bufp->fullWData(oldp+358,(__Vtemp_1),160); + bufp->fullSData(oldp+363,(0x155U),10); + bufp->fullCData(oldp+364,(3U),2); + bufp->fullBit(oldp+365,(1U)); + bufp->fullCData(oldp+366,(2U),3); + bufp->fullCData(oldp+367,(0U),4); + bufp->fullSData(oldp+368,(0x81U),16); + bufp->fullSData(oldp+369,(1U),10); + bufp->fullIData(oldp+370,(0xaU),32); + bufp->fullIData(oldp+371,(0xfU),32); + bufp->fullIData(oldp+372,(0xbU),32); + bufp->fullIData(oldp+373,(0x19U),32); + bufp->fullIData(oldp+374,(7U),32); + bufp->fullIData(oldp+375,(6U),32); + bufp->fullIData(oldp+376,(0U),32); + bufp->fullIData(oldp+377,(1U),32); + bufp->fullBit(oldp+378,(1U)); + __Vtemp_2[0U] = 0x8a418820U; + __Vtemp_2[1U] = 0xc5a92839U; + __Vtemp_2[2U] = 0xca307b9aU; + __Vtemp_2[3U] = 0x18bdab49U; + bufp->fullWData(oldp+379,(__Vtemp_2),125); + bufp->fullIData(oldp+383,(3U),32); + bufp->fullBit(oldp+384,(0U)); + bufp->fullCData(oldp+385,(0U),3); + bufp->fullBit(oldp+386,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_empty)); + bufp->fullSData(oldp+387,(0x4688U),15); + bufp->fullIData(oldp+388,(2U),32); + bufp->fullIData(oldp+389,(9U),32); + bufp->fullBit(oldp+390,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_levels__BRA__2__KET____DOT__gen_level__BRA__2__KET____DOT__sel)); + bufp->fullBit(oldp+391,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_levels__BRA__2__KET____DOT__gen_level__BRA__3__KET____DOT__sel)); + bufp->fullIData(oldp+392,(0xdU),32); + bufp->fullCData(oldp+393,(1U),2); + __Vtemp_3[0U] = 0U; + __Vtemp_3[1U] = 0U; + __Vtemp_3[2U] = 0U; + __Vtemp_3[3U] = 0U; + __Vtemp_3[4U] = 0U; + bufp->fullWData(oldp+394,(__Vtemp_3),160); + bufp->fullSData(oldp+399,(0U),10); + bufp->fullSData(oldp+400,(0U),16); + bufp->fullCData(oldp+401,(0U),5); + __Vtemp_4[0U] = 0xffffffffU; + __Vtemp_4[1U] = 0xffffffffU; + __Vtemp_4[2U] = 0xffffffffU; + __Vtemp_4[3U] = 0x7ffffU; + bufp->fullWData(oldp+402,(__Vtemp_4),115); + bufp->fullIData(oldp+406,(0U),23); + __Vtemp_5[0U] = 0xff800000U; + __Vtemp_5[1U] = 0x3fffU; + __Vtemp_5[2U] = 0xffffffe0U; + __Vtemp_5[3U] = 0xffffffffU; + __Vtemp_5[4U] = 0x3ffU; + __Vtemp_5[5U] = 0U; + bufp->fullWData(oldp+407,(__Vtemp_5),161); + bufp->fullCData(oldp+413,(0U),7); + bufp->fullBit(oldp+414,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_empty)); + bufp->fullBit(oldp+415,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_levels__BRA__2__KET____DOT__gen_level__BRA__2__KET____DOT__sel)); + bufp->fullBit(oldp+416,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_levels__BRA__2__KET____DOT__gen_level__BRA__3__KET____DOT__sel)); + bufp->fullCData(oldp+417,(2U),2); + bufp->fullBit(oldp+418,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_empty)); + bufp->fullBit(oldp+419,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_levels__BRA__2__KET____DOT__gen_level__BRA__2__KET____DOT__sel)); + bufp->fullBit(oldp+420,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_levels__BRA__2__KET____DOT__gen_level__BRA__3__KET____DOT__sel)); + bufp->fullCData(oldp+421,(3U),2); + bufp->fullBit(oldp+422,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_empty)); + bufp->fullBit(oldp+423,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_levels__BRA__2__KET____DOT__gen_level__BRA__2__KET____DOT__sel)); + bufp->fullBit(oldp+424,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_levels__BRA__2__KET____DOT__gen_level__BRA__3__KET____DOT__sel)); + bufp->fullCData(oldp+425,(0U),2); + bufp->fullCData(oldp+426,(3U),2); + bufp->fullBit(oldp+427,(1U)); + bufp->fullBit(oldp+428,(vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_empty)); + bufp->fullCData(oldp+429,(0xe4U),8); + bufp->fullCData(oldp+430,(((IData)(vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__) + << 3U)),4); + bufp->fullCData(oldp+431,((0x37U | ((IData)(vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__index_nodes__BRA__7__03a6__KET__) + << 6U))),8); + bufp->fullWData(oldp+432,(Vfpnew_top__ConstPool__CONST_h895f8a77_0),320); + bufp->fullCData(oldp+442,(0x18U),5); + bufp->fullQData(oldp+443,(0x20383ULL),43); + bufp->fullQData(oldp+445,(0x20782ULL),43); + bufp->fullQData(oldp+447,(0x10302ULL),43); + bufp->fullQData(oldp+449,(0x207ffULL),43); + bufp->fullQData(oldp+451,(0x1077eULL),43); + bufp->fullQData(oldp+453,(0x10716ULL),43); + bufp->fullQData(oldp+455,(0x8240ULL),43); + bufp->fullWData(oldp+457,(Vfpnew_top__ConstPool__CONST_hc2de78b7_0),682); + bufp->fullWData(oldp+479,(Vfpnew_top__ConstPool__CONST_h39767aa4_0),682); + bufp->fullWData(oldp+501,(Vfpnew_top__ConstPool__CONST_h788249eb_0),682); + bufp->fullWData(oldp+523,(Vfpnew_top__ConstPool__CONST_h895f8a77_0),320); + bufp->fullQData(oldp+533,(0x20383ULL),43); + bufp->fullQData(oldp+535,(0x20782ULL),43); + bufp->fullQData(oldp+537,(0x10302ULL),43); + bufp->fullQData(oldp+539,(0x207ffULL),43); + bufp->fullQData(oldp+541,(0x1077eULL),43); + bufp->fullQData(oldp+543,(0x10716ULL),43); + bufp->fullWData(oldp+545,(Vfpnew_top__ConstPool__CONST_hc2de78b7_0),682); + bufp->fullWData(oldp+567,(Vfpnew_top__ConstPool__CONST_h39767aa4_0),682); +} + +VL_ATTR_COLD void Vfpnew_top___024root__trace_full_0_sub_0(Vfpnew_top___024root* vlSelf, VerilatedVcd::Buffer* bufp); + +VL_ATTR_COLD void Vfpnew_top___024root__trace_full_0(void* voidSelf, VerilatedVcd::Buffer* bufp) { + VL_DEBUG_IF(VL_DBG_MSGF("+ Vfpnew_top___024root__trace_full_0\n"); ); + // Init + Vfpnew_top___024root* const __restrict vlSelf VL_ATTR_UNUSED = static_cast(voidSelf); + Vfpnew_top__Syms* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp; + // Body + Vfpnew_top___024root__trace_full_0_sub_0((&vlSymsp->TOP), bufp); +} + +VL_ATTR_COLD void Vfpnew_top___024root__trace_full_0_sub_0(Vfpnew_top___024root* vlSelf, VerilatedVcd::Buffer* bufp) { + VL_DEBUG_IF(VL_DBG_MSGF("+ Vfpnew_top___024root__trace_full_0_sub_0\n"); ); + Vfpnew_top__Syms* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp; + auto& vlSelfRef = std::ref(*vlSelf).get(); + // Init + uint32_t* const oldp VL_ATTR_UNUSED = bufp->oldp(vlSymsp->__Vm_baseCode); + VlWide<3>/*95:0*/ __Vtemp_1; + VlWide<4>/*127:0*/ __Vtemp_3; + VlWide<3>/*95:0*/ __Vtemp_4; + VlWide<6>/*191:0*/ __Vtemp_7; + VlWide<3>/*95:0*/ __Vtemp_8; + // Body + bufp->fullCData(oldp+1,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__upper_idx),3); + bufp->fullCData(oldp+2,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_idx),3); + bufp->fullCData(oldp+3,(((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__0__KET__) + ? (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__upper_idx) + : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_idx))),3); + bufp->fullBit(oldp+4,((1U & (~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__0__KET__))))); + bufp->fullBit(oldp+5,((1U & (~ ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp) + >> 4U)))))); + bufp->fullCData(oldp+6,((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__7__KET__) + << 7U) | (((0x20U & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp) + << 1U)) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__4__KET__) + << 4U) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__) + << 3U))) + | ((4U & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp) + >> 2U)) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__) + << 1U) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp) + >> 4U))))))),8); + bufp->fullIData(oldp+7,((0x20000U | ((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__index_nodes__BRA__23__03a21__KET__) + << 0x15U) + | (((4U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp)) + ? 2U + : 3U) + << 0xcU)) + | (((((1U + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp)) + ? 0U + : 1U) + << 9U) + | (((0x10U + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp)) + ? 4U + : 0U) + << 6U)) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__index_nodes__BRA__5__03a3__KET__) + << 3U) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_idx)))))),24); + bufp->fullCData(oldp+8,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp),5); + bufp->fullCData(oldp+9,((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__7__KET__) + << 7U) | (((0x20U & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp) + << 1U)) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__4__KET__) + << 4U) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__) + << 3U))) + | ((4U & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp) + >> 2U)) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__) + << 1U) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__0__KET__)))))),8); + bufp->fullIData(oldp+10,((0x20000U | ((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__index_nodes__BRA__23__03a21__KET__) + << 0x15U) + | (((4U + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp)) + ? 2U + : 3U) + << 0xcU)) + | (((((1U + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp)) + ? 0U + : 1U) + << 9U) + | (((0x10U + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp)) + ? 4U + : 0U) + << 6U)) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__index_nodes__BRA__5__03a3__KET__) + << 3U) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__upper_idx)))))),24); + bufp->fullCData(oldp+11,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp),5); + bufp->fullCData(oldp+12,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__upper_idx),3); + bufp->fullCData(oldp+13,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_idx),3); + bufp->fullCData(oldp+14,(((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__0__KET__) + ? (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__upper_idx) + : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_idx))),3); + bufp->fullBit(oldp+15,((1U & (~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__0__KET__))))); + bufp->fullBit(oldp+16,((1U & (~ ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp) + >> 4U)))))); + bufp->fullCData(oldp+17,((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__7__KET__) + << 7U) | (((0x20U & + ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp) + << 1U)) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__4__KET__) + << 4U) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__) + << 3U))) + | ((4U & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp) + >> 2U)) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__) + << 1U) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp) + >> 4U))))))),8); + bufp->fullIData(oldp+18,((0x20000U | ((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__index_nodes__BRA__23__03a21__KET__) + << 0x15U) + | (((4U + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp)) + ? 2U + : 3U) + << 0xcU)) + | (((((1U + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp)) + ? 0U + : 1U) + << 9U) + | (((0x10U + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp)) + ? 4U + : 0U) + << 6U)) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__index_nodes__BRA__5__03a3__KET__) + << 3U) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_idx)))))),24); + bufp->fullCData(oldp+19,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp),5); + bufp->fullCData(oldp+20,((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__7__KET__) + << 7U) | (((0x20U & + ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp) + << 1U)) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__4__KET__) + << 4U) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__) + << 3U))) + | ((4U & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp) + >> 2U)) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__) + << 1U) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__0__KET__)))))),8); + bufp->fullIData(oldp+21,((0x20000U | ((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__index_nodes__BRA__23__03a21__KET__) + << 0x15U) + | (((4U + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp)) + ? 2U + : 3U) + << 0xcU)) + | (((((1U + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp)) + ? 0U + : 1U) + << 9U) + | (((0x10U + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp)) + ? 4U + : 0U) + << 6U)) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__index_nodes__BRA__5__03a3__KET__) + << 3U) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__upper_idx)))))),24); + bufp->fullCData(oldp+22,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp),5); + bufp->fullCData(oldp+23,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__upper_idx),3); + bufp->fullCData(oldp+24,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_idx),3); + bufp->fullCData(oldp+25,(((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__0__KET__) + ? (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__upper_idx) + : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_idx))),3); + bufp->fullBit(oldp+26,((1U & (~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__0__KET__))))); + bufp->fullBit(oldp+27,((1U & (~ ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp) + >> 4U)))))); + bufp->fullCData(oldp+28,((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__7__KET__) + << 7U) | (((0x20U & + ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp) + << 1U)) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__4__KET__) + << 4U) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__) + << 3U))) + | ((4U & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp) + >> 2U)) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__) + << 1U) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp) + >> 4U))))))),8); + bufp->fullIData(oldp+29,((0x20000U | ((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__index_nodes__BRA__23__03a21__KET__) + << 0x15U) + | (((4U + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp)) + ? 2U + : 3U) + << 0xcU)) + | (((((1U + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp)) + ? 0U + : 1U) + << 9U) + | (((0x10U + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp)) + ? 4U + : 0U) + << 6U)) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__index_nodes__BRA__5__03a3__KET__) + << 3U) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_idx)))))),24); + bufp->fullCData(oldp+30,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp),5); + bufp->fullCData(oldp+31,((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__7__KET__) + << 7U) | (((0x20U & + ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp) + << 1U)) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__4__KET__) + << 4U) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__) + << 3U))) + | ((4U & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp) + >> 2U)) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__) + << 1U) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__0__KET__)))))),8); + bufp->fullIData(oldp+32,((0x20000U | ((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__index_nodes__BRA__23__03a21__KET__) + << 0x15U) + | (((4U + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp)) + ? 2U + : 3U) + << 0xcU)) + | (((((1U + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp)) + ? 0U + : 1U) + << 9U) + | (((0x10U + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp)) + ? 4U + : 0U) + << 6U)) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__index_nodes__BRA__5__03a3__KET__) + << 3U) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__upper_idx)))))),24); + bufp->fullCData(oldp+33,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp),5); + bufp->fullSData(oldp+34,(vlSelfRef.fpnew_top__DOT__is_boxed),15); + bufp->fullBit(oldp+35,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__in_valid)); + bufp->fullSData(oldp+36,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__input_boxed),15); + bufp->fullCData(oldp+37,((7U & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__input_boxed) + >> 6U))),3); + bufp->fullQData(oldp+38,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__local_operands),48); + bufp->fullBit(oldp+40,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__in_valid)); + bufp->fullSData(oldp+41,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__input_boxed),10); + bufp->fullBit(oldp+42,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__in_valid)); + bufp->fullSData(oldp+43,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__input_boxed),10); + bufp->fullBit(oldp+44,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__in_valid)); + bufp->fullSData(oldp+45,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__input_boxed),15); + bufp->fullCData(oldp+46,((((IData)(vlSelfRef.fpnew_top__DOT____Vcellinp__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__out_ready_i) + << 3U) | (((IData)(vlSelfRef.fpnew_top__DOT____Vcellinp__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__out_ready_i) + << 1U) | (IData)(vlSelfRef.fpnew_top__DOT____Vcellinp__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__out_ready_i)))),4); + bufp->fullBit(oldp+47,(vlSelfRef.fpnew_top__DOT____Vcellinp__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__out_ready_i)); + bufp->fullCData(oldp+48,(((((~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q)) + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gnt_nodes__BRA__1__KET__)) + << 3U) | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__out_ready) + << 2U))),5); + bufp->fullBit(oldp+49,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__out_ready)); + bufp->fullCData(oldp+50,((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_ready__BRA__2__KET__) + << 2U) | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_ready__BRA__1__KET__) + << 1U) | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_ready__BRA__1__KET__)))),3); + bufp->fullCData(oldp+51,((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_ready__BRA__1__KET__) + << 1U) | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__out_ready))),2); + bufp->fullBit(oldp+52,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__gen_inside_pipeline__BRA__0__KET____DOT__reg_ena)); + bufp->fullBit(oldp+53,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__gen_inside_pipeline__BRA__1__KET____DOT__reg_ena)); + bufp->fullBit(oldp+54,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__gen_output_pipeline__BRA__0__KET____DOT__reg_ena)); + bufp->fullCData(oldp+55,((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gnt_nodes__BRA__2__KET__) + << 6U) | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gnt_nodes__BRA__1__KET__) + << 4U) | + (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gnt_nodes__BRA__2__KET__) + << 2U) | + (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gnt_nodes__BRA__1__KET__) + << 1U) + | (IData)(vlSelfRef.fpnew_top__DOT____Vcellinp__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__out_ready_i)))))),7); + bufp->fullCData(oldp+56,((((IData)(vlSelfRef.fpnew_top__DOT____Vcellinp__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__out_ready_i) + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q)) + ? ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__0__KET__) + ? (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__upper_idx) + : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_idx)) + : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__rr_q))),3); + bufp->fullBit(oldp+57,(vlSelfRef.fpnew_top__DOT____Vcellinp__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__out_ready_i)); + bufp->fullCData(oldp+58,((((IData)(vlSelfRef.fpnew_top__DOT____Vcellinp__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__out_ready_i) + << 6U) | (((IData)(vlSelfRef.fpnew_top__DOT____Vcellinp__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__out_ready_i) + << 2U) | + (3U & VL_SHIFTR_III(2,2,32, + (((IData)(vlSelfRef.fpnew_top__DOT____Vcellinp__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__out_ready_i) + << 1U) + | (IData)(vlSelfRef.fpnew_top__DOT____Vcellinp__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__out_ready_i)), 1U))))),7); + bufp->fullBit(oldp+59,(vlSelfRef.fpnew_top__DOT____Vcellinp__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__out_ready_i)); + bufp->fullCData(oldp+60,((((IData)(vlSelfRef.fpnew_top__DOT____Vcellinp__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__out_ready_i) + << 6U) | (((IData)(vlSelfRef.fpnew_top__DOT____Vcellinp__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__out_ready_i) + << 2U) | (IData)(vlSelfRef.fpnew_top__DOT____Vcellinp__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__out_ready_i)))),7); + bufp->fullCData(oldp+61,(((IData)(vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gnt_nodes__BRA__1__KET__) + ? (IData)(vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_idx) + : (IData)(vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__rr_q))),2); + bufp->fullCData(oldp+62,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q),4); + bufp->fullCData(oldp+63,(((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_valid_q) + | ((0U != (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_valid_q)) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q)))),4); + __Vtemp_1[0U] = ((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q) + ? ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q) + ? (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__slice_result) + << 5U) | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT____Vcellout__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__status_o)) + : 0x1fffffU) : 0U) << 1U) + | (IData)(vlSelfRef.fpnew_top__DOT____Vcellout__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__tag_o)); + __Vtemp_1[1U] = 0U; + __Vtemp_1[2U] = 0U; + bufp->fullWData(oldp+64,(__Vtemp_1),88); + bufp->fullIData(oldp+67,(((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q) + ? vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__data_nodes__BRA__43__03a22__KET__ + : 0U)),22); + bufp->fullSData(oldp+68,(((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q) + ? ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q) + ? (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__slice_result) + : 0xffffU) : 0U)),16); + bufp->fullCData(oldp+69,(((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q) + ? ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q) + ? (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT____Vcellout__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__status_o) + : 0x1fU) : 0U)),5); + bufp->fullBit(oldp+70,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q)); + bufp->fullBit(oldp+71,(vlSelfRef.fpnew_top__DOT____Vcellout__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__tag_o)); + bufp->fullBit(oldp+72,(((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_valid_q) + | ((0U != (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_valid_q)) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q))))); + bufp->fullCData(oldp+73,(((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q) + << 2U)),5); + bufp->fullCData(oldp+74,((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_valid_q) + | ((0U != (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_valid_q)) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q))) + << 2U)),5); + __Vtemp_3[0U] = (IData)((0xbfffffffffffULL | (((QData)((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT____Vcellout__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__status_o)) + << 0x30U) + | ((QData)((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_tag_q)) + << 0x2eU)))); + __Vtemp_3[1U] = (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__slice_result) + << 0x15U) | (IData)(((0xbfffffffffffULL + | (((QData)((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT____Vcellout__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__status_o)) + << 0x30U) + | ((QData)((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_tag_q)) + << 0x2eU))) + >> 0x20U))); + __Vtemp_3[2U] = (0xffffffe0U | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__slice_result) + >> 0xbU)); + __Vtemp_3[3U] = 0x7ffffU; + bufp->fullWData(oldp+75,(__Vtemp_3),115); + bufp->fullIData(oldp+79,(((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q) + ? vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__data_nodes__BRA__114__03a92__KET__ + : 0U)),23); + bufp->fullSData(oldp+80,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__slice_result),16); + bufp->fullCData(oldp+81,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT____Vcellout__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__status_o),5); + bufp->fullBit(oldp+82,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_tag_q)); + bufp->fullSData(oldp+83,(((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_aux_q) + ? 0x81U : 1U)),16); + bufp->fullCData(oldp+84,(((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q) + ? (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_status_q) + : 0U)),5); + bufp->fullBit(oldp+85,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_mask_q)); + bufp->fullBit(oldp+86,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_aux_q)); + bufp->fullSData(oldp+87,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_result_q),16); + bufp->fullCData(oldp+88,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_status_q),5); + bufp->fullQData(oldp+89,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_operands_q),48); + bufp->fullCData(oldp+91,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_is_boxed_q),3); + bufp->fullCData(oldp+92,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_rnd_mode_q),3); + bufp->fullCData(oldp+93,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_op_q),4); + bufp->fullBit(oldp+94,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_op_mod_q)); + bufp->fullBit(oldp+95,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_tag_q)); + bufp->fullBit(oldp+96,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_mask_q)); + bufp->fullBit(oldp+97,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_aux_q)); + bufp->fullBit(oldp+98,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_valid_q)); + bufp->fullIData(oldp+99,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT____Vcellout__i_class_inputs__info_o),24); + bufp->fullSData(oldp+100,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_a),16); + bufp->fullSData(oldp+101,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_b),16); + bufp->fullSData(oldp+102,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_c),16); + bufp->fullCData(oldp+103,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_a),8); + bufp->fullCData(oldp+104,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_b),8); + bufp->fullCData(oldp+105,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_c),8); + bufp->fullBit(oldp+106,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__any_operand_inf)); + bufp->fullBit(oldp+107,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__any_operand_nan)); + bufp->fullBit(oldp+108,((1U & (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_a) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_b) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_c))) + >> 2U)))); + bufp->fullBit(oldp+109,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__effective_subtraction)); + bufp->fullBit(oldp+110,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__tentative_sign)); + bufp->fullSData(oldp+111,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__special_result),16); + bufp->fullCData(oldp+112,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__special_status),5); + bufp->fullBit(oldp+113,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__result_is_special)); + bufp->fullCData(oldp+114,((0x7fU & VL_EXTENDS_II(7,6, + (0x1fU + & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_a) + >> 0xaU))))),7); + bufp->fullCData(oldp+115,((0x7fU & VL_EXTENDS_II(7,6, + (0x1fU + & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_b) + >> 0xaU))))),7); + bufp->fullCData(oldp+116,((0x7fU & VL_EXTENDS_II(7,6, + (0x1fU + & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_c) + >> 0xaU))))),7); + bufp->fullCData(oldp+117,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__exponent_addend),7); + bufp->fullCData(oldp+118,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_prod_q_w),7); + bufp->fullCData(oldp+119,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_diff_q_w),7); + bufp->fullCData(oldp+120,((VL_LTS_III(32, 0U, VL_EXTENDS_II(32,7, (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_diff_q_w))) + ? (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__exponent_addend) + : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_prod_q_w))),7); + bufp->fullCData(oldp+121,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_add_shamt_q_w),6); + bufp->fullSData(oldp+122,(((0x400U & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_a) + << 3U)) | + (0x3ffU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_a)))),11); + bufp->fullSData(oldp+123,(((0x400U & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_b) + << 3U)) | + (0x3ffU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_b)))),11); + bufp->fullSData(oldp+124,(((0x400U & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_c) + << 3U)) | + (0x3ffU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_c)))),11); + bufp->fullIData(oldp+125,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__product),22); + bufp->fullQData(oldp+126,((0x1fffffffffULL & VL_SHIFTL_QQI(37,37,32, (QData)((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__product)), 2U))),37); + bufp->fullQData(oldp+128,((0x1fffffffffULL & (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT____VdfgRegularize_h2c6913e2_2_2 + >> 0xbU))),37); + bufp->fullSData(oldp+130,((0x7ffU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT____VdfgRegularize_h2c6913e2_2_2))),11); + bufp->fullBit(oldp+131,((0U != (0x7ffU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT____VdfgRegularize_h2c6913e2_2_2))))); + bufp->fullQData(oldp+132,((0x1fffffffffULL & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__effective_subtraction) + ? + (~ + (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT____VdfgRegularize_h2c6913e2_2_2 + >> 0xbU)) + : + (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT____VdfgRegularize_h2c6913e2_2_2 + >> 0xbU)))),37); + bufp->fullBit(oldp+134,(((~ (IData)((0U != (0x7ffU + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT____VdfgRegularize_h2c6913e2_2_2))))) + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__effective_subtraction)))); + bufp->fullQData(oldp+135,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__sum_pos),38); + bufp->fullQData(oldp+137,((0x3fffffffffULL & ((0x1fffffffffULL + & (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT____VdfgRegularize_h2c6913e2_2_2 + >> 0xbU)) + - + (0x1fffffffffULL + & VL_SHIFTL_QQI(37,37,32, (QData)((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__product)), 2U))))),38); + bufp->fullBit(oldp+139,((1U & (IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__sum_pos + >> 0x25U))))); + bufp->fullQData(oldp+140,((0x1fffffffffULL & (( + (~ (IData)( + (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__sum_pos + >> 0x25U))) + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__effective_subtraction)) + ? + ((0x1fffffffffULL + & (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT____VdfgRegularize_h2c6913e2_2_2 + >> 0xbU)) + - + (0x1fffffffffULL + & VL_SHIFTL_QQI(37,37,32, (QData)((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__product)), 2U))) + : vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__sum_pos))),37); + bufp->fullBit(oldp+142,((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__effective_subtraction) + & ((1U & (IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__sum_pos + >> 0x25U))) + == (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__tentative_sign))) + | ((~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__effective_subtraction)) + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__tentative_sign))))); + bufp->fullBit(oldp+143,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_eff_sub_q__BRA__0__KET__)); + bufp->fullCData(oldp+144,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_prod_q__BRA__6__03a0__KET__),7); + bufp->fullCData(oldp+145,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_diff_q__BRA__6__03a0__KET__),7); + bufp->fullCData(oldp+146,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_tent_exp_q__BRA__6__03a0__KET__),7); + bufp->fullCData(oldp+147,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_add_shamt_q__BRA__5__03a0__KET__),6); + bufp->fullBit(oldp+148,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sticky_q__BRA__0__KET__)); + bufp->fullQData(oldp+149,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__36__03a0__KET__),37); + bufp->fullBit(oldp+151,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_final_sign_q__BRA__0__KET__)); + bufp->fullCData(oldp+152,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_rnd_mode_q__BRA__2__03a0__KET__),3); + bufp->fullBit(oldp+153,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_res_is_spec_q__BRA__0__KET__)); + bufp->fullSData(oldp+154,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_spec_res_q__BRA__15__03a0__KET__),16); + bufp->fullCData(oldp+155,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_spec_stat_q__BRA__4__03a0__KET__),5); + bufp->fullCData(oldp+156,((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_eff_sub_q__BRA__1__KET__) + << 1U) | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_eff_sub_q__BRA__0__KET__))),2); + bufp->fullSData(oldp+157,((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_prod_q__BRA__13__03a7__KET__) + << 7U) | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_prod_q__BRA__6__03a0__KET__))),14); + bufp->fullSData(oldp+158,((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_diff_q__BRA__13__03a7__KET__) + << 7U) | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_diff_q__BRA__6__03a0__KET__))),14); + bufp->fullSData(oldp+159,((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_tent_exp_q__BRA__13__03a7__KET__) + << 7U) | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_tent_exp_q__BRA__6__03a0__KET__))),14); + bufp->fullSData(oldp+160,((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_add_shamt_q__BRA__11__03a6__KET__) + << 6U) | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_add_shamt_q__BRA__5__03a0__KET__))),12); + bufp->fullCData(oldp+161,((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sticky_q__BRA__1__KET__) + << 1U) | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sticky_q__BRA__0__KET__))),2); + __Vtemp_4[0U] = (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__36__03a0__KET__); + __Vtemp_4[1U] = (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__73__03a37__KET__) + << 5U) | (IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__36__03a0__KET__ + >> 0x20U))); + __Vtemp_4[2U] = (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__73__03a37__KET__) + >> 0x1bU) | ((IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__73__03a37__KET__ + >> 0x20U)) + << 5U)); + bufp->fullWData(oldp+162,(__Vtemp_4),74); + bufp->fullCData(oldp+165,((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_final_sign_q__BRA__1__KET__) + << 1U) | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_final_sign_q__BRA__0__KET__))),2); + bufp->fullCData(oldp+166,((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_rnd_mode_q__BRA__5__03a3__KET__) + << 3U) | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_rnd_mode_q__BRA__2__03a0__KET__))),6); + bufp->fullCData(oldp+167,((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_res_is_spec_q__BRA__1__KET__) + << 1U) | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_res_is_spec_q__BRA__0__KET__))),2); + bufp->fullIData(oldp+168,((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_spec_res_q__BRA__31__03a16__KET__) + << 0x10U) | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_spec_res_q__BRA__15__03a0__KET__))),32); + bufp->fullSData(oldp+169,((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_spec_stat_q__BRA__9__03a5__KET__) + << 5U) | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_spec_stat_q__BRA__4__03a0__KET__))),10); + bufp->fullCData(oldp+170,((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_tag_q__BRA__1__KET__) + << 1U) | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_tag_q__BRA__0__KET__))),2); + bufp->fullCData(oldp+171,((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_mask_q__BRA__1__KET__) + << 1U) | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_mask_q__BRA__0__KET__))),2); + bufp->fullCData(oldp+172,((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_aux_q__BRA__1__KET__) + << 1U) | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_aux_q__BRA__0__KET__))),2); + bufp->fullCData(oldp+173,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_valid_q),2); + bufp->fullIData(oldp+174,((0x1ffffffU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__36__03a0__KET__))),25); + bufp->fullCData(oldp+175,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__leading_zero_count),5); + bufp->fullCData(oldp+176,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__leading_zero_count),6); + bufp->fullBit(oldp+177,((1U & (~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__0__KET__))))); + bufp->fullCData(oldp+178,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__norm_shamt),6); + bufp->fullCData(oldp+179,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__normalized_exponent),7); + bufp->fullQData(oldp+180,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__sum_shifted),38); + bufp->fullSData(oldp+182,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__final_mantissa),12); + bufp->fullIData(oldp+183,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__sum_sticky_bits),25); + bufp->fullBit(oldp+184,(((0U != vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__sum_sticky_bits) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sticky_q__BRA__0__KET__)))); + bufp->fullCData(oldp+185,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__final_exponent),7); + bufp->fullCData(oldp+186,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__pre_round_exponent),5); + bufp->fullSData(oldp+187,((VL_LTES_III(32, 0x1fU, + VL_EXTENDS_II(32,7, (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__final_exponent))) + ? 0x3ffU : (0x3ffU + & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__final_mantissa) + >> 1U)))),10); + bufp->fullSData(oldp+188,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__pre_round_abs),15); + bufp->fullCData(oldp+189,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__round_sticky_bits),2); + bufp->fullBit(oldp+190,(VL_LTES_III(32, 0x1fU, + VL_EXTENDS_II(32,7, (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__final_exponent))))); + bufp->fullBit(oldp+191,((0x1fU == (0x1fU & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__rounded_abs) + >> 0xaU))))); + bufp->fullBit(oldp+192,((0U == VL_EXTENDS_II(32,7, (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__final_exponent))))); + bufp->fullBit(oldp+193,(((0U == (0x1fU & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__rounded_abs) + >> 0xaU))) + | ((0U == (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__pre_round_exponent)) + & (IData)(((0x400U + == (0x7c00U + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__rounded_abs))) + & ((3U != (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__round_sticky_bits)) + | ((~ + (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__sum_sticky_bits + >> 0x18U)) + & ((0U + == (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_rnd_mode_q__BRA__2__03a0__KET__)) + | (4U + == (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_rnd_mode_q__BRA__2__03a0__KET__))))))))))); + bufp->fullBit(oldp+194,(((0U == (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__pre_round_abs)) + & (0U == (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__round_sticky_bits))))); + bufp->fullBit(oldp+195,(((((0U == (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__pre_round_abs)) + & (0U == (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__round_sticky_bits))) + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_eff_sub_q__BRA__0__KET__)) + ? (2U == (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_rnd_mode_q__BRA__2__03a0__KET__)) + : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_final_sign_q__BRA__0__KET__)))); + bufp->fullSData(oldp+196,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__rounded_abs),15); + bufp->fullSData(oldp+197,(((((((0U == (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__pre_round_abs)) + & (0U == (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__round_sticky_bits))) + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_eff_sub_q__BRA__0__KET__)) + ? (2U == (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_rnd_mode_q__BRA__2__03a0__KET__)) + : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_final_sign_q__BRA__0__KET__)) + << 0xfU) | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__rounded_abs))),16); + bufp->fullSData(oldp+198,(((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_res_is_spec_q__BRA__0__KET__) + ? (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_spec_res_q__BRA__15__03a0__KET__) + : ((((((0U == (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__pre_round_abs)) + & (0U == (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__round_sticky_bits))) + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_eff_sub_q__BRA__0__KET__)) + ? (2U == (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_rnd_mode_q__BRA__2__03a0__KET__)) + : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_final_sign_q__BRA__0__KET__)) + << 0xfU) | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__rounded_abs)))),16); + bufp->fullBit(oldp+199,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_tag_q__BRA__0__KET__)); + bufp->fullBit(oldp+200,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_mask_q__BRA__0__KET__)); + bufp->fullBit(oldp+201,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_aux_q__BRA__0__KET__)); + bufp->fullBit(oldp+202,((1U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_valid_q)))); + bufp->fullSData(oldp+203,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__value),16); + bufp->fullBit(oldp+204,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_boxed)); + bufp->fullBit(oldp+205,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_normal)); + bufp->fullBit(oldp+206,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_inf)); + bufp->fullBit(oldp+207,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_nan)); + bufp->fullBit(oldp+208,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_signalling)); + bufp->fullBit(oldp+209,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_quiet)); + bufp->fullBit(oldp+210,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_zero)); + bufp->fullBit(oldp+211,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_subnormal)); + bufp->fullSData(oldp+212,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__value),16); + bufp->fullBit(oldp+213,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_boxed)); + bufp->fullBit(oldp+214,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_normal)); + bufp->fullBit(oldp+215,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_inf)); + bufp->fullBit(oldp+216,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_nan)); + bufp->fullBit(oldp+217,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_signalling)); + bufp->fullBit(oldp+218,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_quiet)); + bufp->fullBit(oldp+219,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_zero)); + bufp->fullBit(oldp+220,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_subnormal)); + bufp->fullSData(oldp+221,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__value),16); + bufp->fullBit(oldp+222,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_boxed)); + bufp->fullBit(oldp+223,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_normal)); + bufp->fullBit(oldp+224,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_inf)); + bufp->fullBit(oldp+225,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_nan)); + bufp->fullBit(oldp+226,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_signalling)); + bufp->fullBit(oldp+227,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_quiet)); + bufp->fullBit(oldp+228,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_zero)); + bufp->fullBit(oldp+229,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_subnormal)); + bufp->fullBit(oldp+230,(((4U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_rnd_mode_q__BRA__2__03a0__KET__)) + ? ((1U & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_rnd_mode_q__BRA__2__03a0__KET__) + >> 1U)) || + (1U & ((1U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_rnd_mode_q__BRA__2__03a0__KET__)) + ? ((~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__pre_round_abs)) + & (0U != (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__round_sticky_bits))) + : ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__round_sticky_bits) + >> 1U)))) + : ((2U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_rnd_mode_q__BRA__2__03a0__KET__)) + ? ((1U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_rnd_mode_q__BRA__2__03a0__KET__)) + ? ((0U != (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__round_sticky_bits)) + & (~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_final_sign_q__BRA__0__KET__))) + : ((0U != (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__round_sticky_bits)) + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_final_sign_q__BRA__0__KET__))) + : ((1U & (~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_rnd_mode_q__BRA__2__03a0__KET__))) + && ((1U & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__round_sticky_bits) + >> 1U)) + && ((1U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__round_sticky_bits)) + || (1U + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__pre_round_abs))))))))); + bufp->fullIData(oldp+231,((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__31__KET__) + << 0x1fU) | (((((0x8000000U + & (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp + << 3U)) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__26__KET__) + << 0x1aU)) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__25__KET__) + << 0x19U) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__24__KET__) + << 0x18U))) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__23__KET__) + << 0x17U) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__22__KET__) + << 0x16U) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__21__KET__) + << 0x15U)))) + | ((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__20__KET__) + << 0x14U) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__19__KET__) + << 0x13U) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__18__KET__) + << 0x12U))) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__17__KET__) + << 0x11U) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__16__KET__) + << 0x10U) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__15__KET__) + << 0xfU) + | (((((0x2000U + & (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp + >> 0xbU)) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__12__KET__) + << 0xcU)) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__11__KET__) + << 0xbU) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__10__KET__) + << 0xaU))) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__9__KET__) + << 9U) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__8__KET__) + << 8U) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__7__KET__) + << 7U)))) + | ((((0x40U + & (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp + >> 0x12U)) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__5__KET__) + << 5U)) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__4__KET__) + << 4U) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__) + << 3U))) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__2__KET__) + << 2U) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__) + << 1U) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__0__KET__)))))))))))),32); + bufp->fullWData(oldp+232,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes),160); + bufp->fullIData(oldp+237,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp),25); + bufp->fullCData(oldp+238,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__output_processing__DOT__temp_status),5); + __Vtemp_7[0U] = (IData)((((QData)((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__data_nodes__BRA__114__03a92__KET__)) + << 0x17U) | (QData)((IData)( + ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q) + ? vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__data_nodes__BRA__114__03a92__KET__ + : 0U))))); + __Vtemp_7[1U] = (IData)(((((QData)((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__data_nodes__BRA__114__03a92__KET__)) + << 0x17U) | (QData)((IData)( + ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q) + ? vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__data_nodes__BRA__114__03a92__KET__ + : 0U)))) + >> 0x20U)); + __Vtemp_7[2U] = (0xfffffe0U | ((IData)((0x3fffff800000ULL + | (QData)((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__data_nodes__BRA__114__03a92__KET__)))) + << 0x1cU)); + __Vtemp_7[3U] = (((IData)((0x3fffff800000ULL | (QData)((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__data_nodes__BRA__114__03a92__KET__)))) + >> 4U) | ((IData)(((0x3fffff800000ULL + | (QData)((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__data_nodes__BRA__114__03a92__KET__))) + >> 0x20U)) + << 0x1cU)); + __Vtemp_7[4U] = ((IData)(((0x3fffff800000ULL | (QData)((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__data_nodes__BRA__114__03a92__KET__))) + >> 0x20U)) >> 4U); + __Vtemp_7[5U] = 0U; + bufp->fullWData(oldp+239,(__Vtemp_7),161); + bufp->fullCData(oldp+245,((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q) + << 4U) | (3U & (- (IData)((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q)))))),7); + bufp->fullCData(oldp+246,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__rr_q),3); + bufp->fullCData(oldp+247,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__upper_mask),5); + bufp->fullCData(oldp+248,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_mask),5); + bufp->fullCData(oldp+249,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__upper_idx),3); + bufp->fullCData(oldp+250,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_idx),3); + bufp->fullCData(oldp+251,(((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__0__KET__) + ? (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__upper_idx) + : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_idx))),3); + bufp->fullBit(oldp+252,((1U & (~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__0__KET__))))); + bufp->fullBit(oldp+253,((1U & (~ ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp) + >> 4U)))))); + bufp->fullCData(oldp+254,((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__7__KET__) + << 7U) | (((0x20U & + ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp) + << 1U)) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__4__KET__) + << 4U) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__) + << 3U))) + | ((4U & + ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp) + >> 2U)) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__) + << 1U) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp) + >> 4U))))))),8); + bufp->fullIData(oldp+255,((0x20000U | ((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__index_nodes__BRA__23__03a21__KET__) + << 0x15U) + | (((4U + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp)) + ? 2U + : 3U) + << 0xcU)) + | (((((1U + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp)) + ? 0U + : 1U) + << 9U) + | (((0x10U + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp)) + ? 4U + : 0U) + << 6U)) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__index_nodes__BRA__5__03a3__KET__) + << 3U) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_idx)))))),24); + bufp->fullCData(oldp+256,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp),5); + bufp->fullCData(oldp+257,((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__7__KET__) + << 7U) | (((0x20U & + ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp) + << 1U)) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__4__KET__) + << 4U) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__) + << 3U))) + | ((4U & + ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp) + >> 2U)) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__) + << 1U) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__0__KET__)))))),8); + bufp->fullIData(oldp+258,((0x20000U | ((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__index_nodes__BRA__23__03a21__KET__) + << 0x15U) + | (((4U + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp)) + ? 2U + : 3U) + << 0xcU)) + | (((((1U + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp)) + ? 0U + : 1U) + << 9U) + | (((0x10U + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp)) + ? 4U + : 0U) + << 6U)) + | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__index_nodes__BRA__5__03a3__KET__) + << 3U) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__upper_idx)))))),24); + bufp->fullCData(oldp+259,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp),5); + bufp->fullBit(oldp+260,((1U & (~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q))))); + bufp->fullCData(oldp+261,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__rr_q),3); + bufp->fullCData(oldp+262,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__rr_q),3); + bufp->fullCData(oldp+263,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__rr_q),3); + __Vtemp_8[0U] = (IData)((((QData)((IData)(vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__data_nodes__BRA__43__03a22__KET__)) + << 0x16U) | (QData)((IData)( + ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q) + ? vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__data_nodes__BRA__43__03a22__KET__ + : 0U))))); + __Vtemp_8[1U] = (IData)(((((QData)((IData)(vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__data_nodes__BRA__43__03a22__KET__)) + << 0x16U) | (QData)((IData)( + ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q) + ? vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__data_nodes__BRA__43__03a22__KET__ + : 0U)))) + >> 0x20U)); + __Vtemp_8[2U] = 0U; + bufp->fullWData(oldp+264,(__Vtemp_8),66); + bufp->fullCData(oldp+267,((3U & (- (IData)((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q))))),3); + bufp->fullCData(oldp+268,(vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__rr_q),2); + bufp->fullCData(oldp+269,(vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_idx),2); + bufp->fullBit(oldp+270,((1U & (~ ((IData)(vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__) + | (IData)(vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__2__KET__)))))); + bufp->fullCData(oldp+271,(((((IData)(vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__) + << 3U) | ((IData)(vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__2__KET__) + << 2U)) + | (((IData)(vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__) + << 1U) | ((IData)(vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__) + | (IData)(vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__2__KET__))))),4); + bufp->fullCData(oldp+272,(((((IData)(vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__index_nodes__BRA__7__03a6__KET__) + << 6U) | (((4U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q)) + ? 2U : 3U) + << 4U)) + | ((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q) + ? 0U : 1U) << 2U) + | (IData)(vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_idx)))),8); + bufp->fullBit(oldp+273,(vlSelfRef.clk_i)); + bufp->fullBit(oldp+274,(vlSelfRef.rst_ni)); + bufp->fullQData(oldp+275,(vlSelfRef.operands_i),48); + bufp->fullCData(oldp+277,(vlSelfRef.rnd_mode_i),3); + bufp->fullCData(oldp+278,(vlSelfRef.op_i),4); + bufp->fullBit(oldp+279,(vlSelfRef.op_mod_i)); + bufp->fullCData(oldp+280,(vlSelfRef.src_fmt_i),3); + bufp->fullCData(oldp+281,(vlSelfRef.dst_fmt_i),3); + bufp->fullCData(oldp+282,(vlSelfRef.int_fmt_i),2); + bufp->fullBit(oldp+283,(vlSelfRef.vectorial_op_i)); + bufp->fullBit(oldp+284,(vlSelfRef.tag_i)); + bufp->fullBit(oldp+285,(vlSelfRef.simd_mask_i)); + bufp->fullBit(oldp+286,(vlSelfRef.in_valid_i)); + bufp->fullBit(oldp+287,(vlSelfRef.in_ready_o)); + bufp->fullBit(oldp+288,(vlSelfRef.flush_i)); + bufp->fullSData(oldp+289,(vlSelfRef.result_o),16); + bufp->fullCData(oldp+290,(vlSelfRef.status_o),5); + bufp->fullBit(oldp+291,(vlSelfRef.tag_o)); + bufp->fullBit(oldp+292,(vlSelfRef.out_valid_o)); + bufp->fullBit(oldp+293,(vlSelfRef.out_ready_i)); + bufp->fullBit(oldp+294,(vlSelfRef.busy_o)); + bufp->fullCData(oldp+295,((((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__in_valid) + & ((4U >= (IData)(vlSelfRef.dst_fmt_i)) + ? 0U : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT____Vxrand_h8df6f50b__0))) + << 3U) | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__in_valid) + & ((4U + >= (IData)(vlSelfRef.dst_fmt_i)) + ? 0U + : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT____Vxrand_h8df6f50b__0))) + << 2U)) + | ((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__in_valid) + & ((4U >= (IData)(vlSelfRef.dst_fmt_i)) + ? 0U : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT____Vxrand_h8df6f50b__0))) + << 1U) | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__in_valid) + & ((4U + >= (IData)(vlSelfRef.dst_fmt_i)) + ? + (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_ready__BRA__1__KET__) + << 2U) + >> (IData)(vlSelfRef.dst_fmt_i)) + : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT____Vxrand_h8df6f50b__0)))))),4); + bufp->fullBit(oldp+296,(((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__in_valid) + & ((4U >= (IData)(vlSelfRef.dst_fmt_i)) + ? (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_ready__BRA__1__KET__) + << 2U) >> (IData)(vlSelfRef.dst_fmt_i)) + : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT____Vxrand_h8df6f50b__0))))); + bufp->fullCData(oldp+297,(((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_ready__BRA__1__KET__) + << 2U)),5); + bufp->fullBit(oldp+298,(((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__in_valid) + & (2U == (IData)(vlSelfRef.dst_fmt_i))))); + bufp->fullBit(oldp+299,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_ready__BRA__1__KET__)); + bufp->fullCData(oldp+300,((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_ready__BRA__1__KET__) + << 1U) | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_ready__BRA__2__KET__))),2); + bufp->fullCData(oldp+301,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__regular_status),5); + bufp->fullCData(oldp+302,(((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_res_is_spec_q__BRA__0__KET__) + ? (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_spec_stat_q__BRA__4__03a0__KET__) + : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__regular_status))),5); + bufp->fullBit(oldp+303,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__gen_input_pipeline__BRA__0__KET____DOT__reg_ena)); + bufp->fullCData(oldp+304,((7U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes)),3); + bufp->fullIData(oldp+305,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes),21); + bufp->fullIData(oldp+306,((IData)(vlSelfRef.operands_i)),32); + bufp->fullBit(oldp+307,(((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__in_valid) + & ((4U >= (IData)(vlSelfRef.dst_fmt_i)) + ? 0U : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT____Vxrand_h8df6f50b__0))))); + bufp->fullCData(oldp+308,((7U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes)),3); + bufp->fullIData(oldp+309,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes),21); + bufp->fullBit(oldp+310,(((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__in_valid) + & ((4U >= (IData)(vlSelfRef.dst_fmt_i)) + ? 0U : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT____Vxrand_h8df6f50b__0))))); + bufp->fullCData(oldp+311,((7U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes)),3); + bufp->fullIData(oldp+312,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes),21); + bufp->fullBit(oldp+313,(((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__in_valid) + & ((4U >= (IData)(vlSelfRef.dst_fmt_i)) + ? 0U : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT____Vxrand_h8df6f50b__0))))); + bufp->fullCData(oldp+314,((7U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes)),3); + bufp->fullIData(oldp+315,(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes),21); + bufp->fullCData(oldp+316,((3U & (IData)(vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes))),2); + bufp->fullCData(oldp+317,(vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes),6); + bufp->fullCData(oldp+318,((((IData)(vlSelfRef.fpnew_top__DOT____Vcellinp__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__out_ready_i) + << 2U) | (((IData)(vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gnt_nodes__BRA__1__KET__) + << 1U) | (IData)(vlSelfRef.out_ready_i)))),3); +} diff --git a/obj_dir/Vfpnew_top___024root.h b/obj_dir/Vfpnew_top___024root.h new file mode 100644 index 0000000..51281c4 --- /dev/null +++ b/obj_dir/Vfpnew_top___024root.h @@ -0,0 +1,376 @@ +// Verilated -*- C++ -*- +// DESCRIPTION: Verilator output: Design internal header +// See Vfpnew_top.h for the primary calling header + +#ifndef VERILATED_VFPNEW_TOP___024ROOT_H_ +#define VERILATED_VFPNEW_TOP___024ROOT_H_ // guard + +#include "verilated.h" + + +class Vfpnew_top__Syms; + +class alignas(VL_CACHE_LINE_BYTES) Vfpnew_top___024root final : public VerilatedModule { + public: + + // DESIGN SPECIFIC STATE + // Anonymous structures to workaround compiler member-count bugs + struct { + VL_IN8(clk_i,0,0); + VL_IN8(rst_ni,0,0); + VL_IN8(rnd_mode_i,2,0); + VL_IN8(op_i,3,0); + VL_IN8(op_mod_i,0,0); + VL_IN8(src_fmt_i,2,0); + VL_IN8(dst_fmt_i,2,0); + VL_IN8(int_fmt_i,1,0); + VL_IN8(vectorial_op_i,0,0); + VL_IN8(tag_i,0,0); + VL_IN8(simd_mask_i,0,0); + VL_IN8(in_valid_i,0,0); + VL_OUT8(in_ready_o,0,0); + VL_IN8(flush_i,0,0); + VL_OUT8(status_o,4,0); + VL_OUT8(tag_o,0,0); + VL_OUT8(out_valid_o,0,0); + VL_IN8(out_ready_i,0,0); + VL_OUT8(busy_o,0,0); + SData/*14:0*/ fpnew_top__DOT__is_boxed; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__in_valid; + SData/*14:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__input_boxed; + CData/*0:0*/ fpnew_top__DOT____Vcellinp__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__out_ready_i; + CData/*0:0*/ fpnew_top__DOT____Vcellout__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__tag_o; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__in_valid; + SData/*9:0*/ fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__input_boxed; + CData/*0:0*/ fpnew_top__DOT____Vcellinp__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__out_ready_i; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__in_valid; + SData/*9:0*/ fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__input_boxed; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__in_valid; + SData/*14:0*/ fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__input_boxed; + CData/*0:0*/ fpnew_top__DOT____Vcellinp__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__out_ready_i; + CData/*5:0*/ fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes; + CData/*0:0*/ fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gnt_nodes__BRA__1__KET__; + CData/*1:0*/ fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__rr_q; + CData/*1:0*/ fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__rr_d; + CData/*1:0*/ fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_idx; + CData/*0:0*/ fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_empty; + CData/*0:0*/ fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__; + CData/*1:0*/ fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__index_nodes__BRA__7__03a6__KET__; + CData/*0:0*/ fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__; + CData/*0:0*/ fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__2__KET__; + CData/*0:0*/ fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__; + CData/*1:0*/ fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__index_nodes__BRA__7__03a6__KET__; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT____Vxrand_h8df6f50b__0; + CData/*4:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT____Vcellout__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__status_o; + IData/*20:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gnt_nodes__BRA__2__KET__; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gnt_nodes__BRA__1__KET__; + CData/*2:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__rr_q; + CData/*2:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__rr_d; + CData/*4:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__upper_mask; + CData/*4:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_mask; + CData/*2:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__upper_idx; + CData/*2:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_idx; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_empty; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_levels__BRA__2__KET____DOT__gen_level__BRA__2__KET____DOT__sel; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_levels__BRA__2__KET____DOT__gen_level__BRA__3__KET____DOT__sel; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__7__KET__; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__4__KET__; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__0__KET__; + CData/*2:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__index_nodes__BRA__23__03a21__KET__; + }; + struct { + CData/*2:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__index_nodes__BRA__5__03a3__KET__; + CData/*4:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__7__KET__; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__4__KET__; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__; + CData/*2:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__index_nodes__BRA__23__03a21__KET__; + CData/*2:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__index_nodes__BRA__5__03a3__KET__; + CData/*4:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__out_ready; + CData/*4:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__output_processing__DOT__temp_status; + CData/*2:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_is_boxed_q; + CData/*2:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_rnd_mode_q; + CData/*3:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_op_q; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_op_mod_q; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_tag_q; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_mask_q; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_aux_q; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_valid_q; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_ready__BRA__1__KET__; + IData/*23:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT____Vcellout__i_class_inputs__info_o; + CData/*7:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_a; + CData/*7:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_b; + CData/*7:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_c; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__any_operand_inf; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__any_operand_nan; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__effective_subtraction; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__tentative_sign; + CData/*4:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__special_status; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__result_is_special; + CData/*6:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__exponent_addend; + CData/*6:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_prod_q_w; + CData/*6:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_diff_q_w; + CData/*5:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_add_shamt_q_w; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_eff_sub_q__BRA__1__KET__; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_eff_sub_q__BRA__0__KET__; + CData/*6:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_prod_q__BRA__13__03a7__KET__; + CData/*6:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_prod_q__BRA__6__03a0__KET__; + CData/*6:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_diff_q__BRA__13__03a7__KET__; + CData/*6:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_diff_q__BRA__6__03a0__KET__; + CData/*6:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_tent_exp_q__BRA__13__03a7__KET__; + CData/*6:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_tent_exp_q__BRA__6__03a0__KET__; + CData/*5:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_add_shamt_q__BRA__11__03a6__KET__; + CData/*5:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_add_shamt_q__BRA__5__03a0__KET__; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sticky_q__BRA__1__KET__; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sticky_q__BRA__0__KET__; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_final_sign_q__BRA__1__KET__; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_final_sign_q__BRA__0__KET__; + CData/*2:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_rnd_mode_q__BRA__5__03a3__KET__; + CData/*2:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_rnd_mode_q__BRA__2__03a0__KET__; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_res_is_spec_q__BRA__1__KET__; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_res_is_spec_q__BRA__0__KET__; + CData/*4:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_spec_stat_q__BRA__9__03a5__KET__; + CData/*4:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_spec_stat_q__BRA__4__03a0__KET__; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_tag_q__BRA__1__KET__; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_tag_q__BRA__0__KET__; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_mask_q__BRA__1__KET__; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_mask_q__BRA__0__KET__; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_aux_q__BRA__1__KET__; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_aux_q__BRA__0__KET__; + CData/*1:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_valid_q; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_ready__BRA__2__KET__; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_ready__BRA__1__KET__; + CData/*4:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__leading_zero_count; + }; + struct { + CData/*5:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__norm_shamt; + CData/*6:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__normalized_exponent; + CData/*6:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__final_exponent; + CData/*4:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__pre_round_exponent; + CData/*1:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__round_sticky_bits; + CData/*4:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__regular_status; + CData/*4:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_status_q; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_tag_q; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_mask_q; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_aux_q; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_ready__BRA__1__KET__; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__gen_input_pipeline__BRA__0__KET____DOT__reg_ena; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__gen_inside_pipeline__BRA__0__KET____DOT__reg_ena; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__gen_inside_pipeline__BRA__1__KET____DOT__reg_ena; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__gen_output_pipeline__BRA__0__KET____DOT__reg_ena; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_boxed; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_normal; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_inf; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_nan; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_signalling; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_quiet; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_zero; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_subnormal; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_boxed; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_normal; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_inf; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_nan; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_signalling; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_quiet; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_zero; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_subnormal; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_boxed; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_normal; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_inf; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_nan; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_signalling; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_quiet; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_zero; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_subnormal; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__31__KET__; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__26__KET__; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__25__KET__; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__24__KET__; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__23__KET__; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__22__KET__; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__21__KET__; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__20__KET__; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__19__KET__; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__18__KET__; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__17__KET__; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__16__KET__; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__15__KET__; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__12__KET__; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__11__KET__; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__10__KET__; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__9__KET__; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__8__KET__; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__7__KET__; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__5__KET__; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__4__KET__; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__2__KET__; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__; + }; + struct { + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__0__KET__; + VlWide<5>/*159:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes; + CData/*4:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__159__03a155__KET__; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT____Vxrand_h8df6f50b__0; + IData/*20:0*/ fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes; + CData/*2:0*/ fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__rr_q; + CData/*2:0*/ fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__rr_d; + CData/*2:0*/ fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__upper_idx; + CData/*2:0*/ fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_idx; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_empty; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_levels__BRA__2__KET____DOT__gen_level__BRA__2__KET____DOT__sel; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_levels__BRA__2__KET____DOT__gen_level__BRA__3__KET____DOT__sel; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__7__KET__; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__4__KET__; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__0__KET__; + CData/*2:0*/ fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__index_nodes__BRA__23__03a21__KET__; + CData/*2:0*/ fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__index_nodes__BRA__5__03a3__KET__; + CData/*4:0*/ fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__7__KET__; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__4__KET__; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__; + CData/*2:0*/ fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__index_nodes__BRA__23__03a21__KET__; + CData/*2:0*/ fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__index_nodes__BRA__5__03a3__KET__; + CData/*4:0*/ fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT____Vxrand_h8df6f50b__0; + IData/*20:0*/ fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes; + CData/*2:0*/ fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__rr_q; + CData/*2:0*/ fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__rr_d; + CData/*2:0*/ fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__upper_idx; + CData/*2:0*/ fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_idx; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_empty; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_levels__BRA__2__KET____DOT__gen_level__BRA__2__KET____DOT__sel; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_levels__BRA__2__KET____DOT__gen_level__BRA__3__KET____DOT__sel; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__7__KET__; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__4__KET__; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__0__KET__; + CData/*2:0*/ fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__index_nodes__BRA__23__03a21__KET__; + CData/*2:0*/ fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__index_nodes__BRA__5__03a3__KET__; + CData/*4:0*/ fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__7__KET__; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__4__KET__; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__; + CData/*2:0*/ fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__index_nodes__BRA__23__03a21__KET__; + CData/*2:0*/ fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__index_nodes__BRA__5__03a3__KET__; + CData/*4:0*/ fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT____Vxrand_h8df6f50b__0; + IData/*20:0*/ fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes; + CData/*2:0*/ fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__rr_q; + CData/*2:0*/ fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__rr_d; + CData/*2:0*/ fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__upper_idx; + CData/*2:0*/ fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_idx; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_empty; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_levels__BRA__2__KET____DOT__gen_level__BRA__2__KET____DOT__sel; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_levels__BRA__2__KET____DOT__gen_level__BRA__3__KET____DOT__sel; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__7__KET__; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__4__KET__; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__; + }; + struct { + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__0__KET__; + CData/*2:0*/ fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__index_nodes__BRA__23__03a21__KET__; + CData/*2:0*/ fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__index_nodes__BRA__5__03a3__KET__; + CData/*4:0*/ fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__7__KET__; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__4__KET__; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__; + CData/*2:0*/ fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__index_nodes__BRA__23__03a21__KET__; + CData/*2:0*/ fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__index_nodes__BRA__5__03a3__KET__; + CData/*4:0*/ fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp; + CData/*1:0*/ __Vfunc_get_opgroup__0__Vfuncout; + CData/*3:0*/ __Vfunc_get_opgroup__0__op; + CData/*1:0*/ __Vfunc_get_opgroup__1__Vfuncout; + CData/*3:0*/ __Vfunc_get_opgroup__1__op; + CData/*1:0*/ __Vfunc_get_opgroup__2__Vfuncout; + CData/*3:0*/ __Vfunc_get_opgroup__2__op; + CData/*1:0*/ __Vfunc_get_opgroup__3__Vfuncout; + CData/*3:0*/ __Vfunc_get_opgroup__3__op; + CData/*1:0*/ __Vfunc_get_opgroup__4__Vfuncout; + CData/*3:0*/ __Vfunc_get_opgroup__4__op; + CData/*0:0*/ __Vtrigprevexpr___TOP__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_ready__BRA__1__KET____0; + CData/*5:0*/ __Vtrigprevexpr___TOP__fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes__0; + IData/*20:0*/ __Vtrigprevexpr___TOP__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes__0; + CData/*4:0*/ __Vtrigprevexpr___TOP__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__regular_status__0; + IData/*20:0*/ __Vtrigprevexpr___TOP__fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes__0; + IData/*20:0*/ __Vtrigprevexpr___TOP__fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes__0; + IData/*20:0*/ __Vtrigprevexpr___TOP__fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes__0; + CData/*0:0*/ __VstlDidInit; + CData/*0:0*/ __VstlFirstIteration; + CData/*0:0*/ __VicoFirstIteration; + CData/*0:0*/ __Vtrigprevexpr___TOP__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_ready__BRA__1__KET____1; + CData/*5:0*/ __Vtrigprevexpr___TOP__fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes__1; + IData/*20:0*/ __Vtrigprevexpr___TOP__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes__1; + CData/*4:0*/ __Vtrigprevexpr___TOP__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__regular_status__1; + IData/*20:0*/ __Vtrigprevexpr___TOP__fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes__1; + IData/*20:0*/ __Vtrigprevexpr___TOP__fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes__1; + IData/*20:0*/ __Vtrigprevexpr___TOP__fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes__1; + CData/*0:0*/ __Vtrigprevexpr___TOP__clk_i__0; + CData/*0:0*/ __Vtrigprevexpr___TOP__rst_ni__0; + CData/*0:0*/ __VactDidInit; + CData/*0:0*/ __VactContinue; + VL_IN64(operands_i,47,0); + VL_OUT16(result_o,15,0); + SData/*15:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__slice_result; + QData/*47:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__local_operands; + QData/*47:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_operands_q; + SData/*15:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_a; + SData/*15:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_b; + SData/*15:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_c; + SData/*15:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__special_result; + SData/*15:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_spec_res_q__BRA__31__03a16__KET__; + SData/*15:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_spec_res_q__BRA__15__03a0__KET__; + SData/*11:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__final_mantissa; + SData/*14:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__pre_round_abs; + SData/*14:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__rounded_abs; + SData/*15:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_result_q; + SData/*15:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__value; + SData/*15:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__value; + SData/*15:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__value; + IData/*21:0*/ fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__data_nodes__BRA__43__03a22__KET__; + IData/*22:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__data_nodes__BRA__114__03a92__KET__; + IData/*21:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__product; + IData/*24:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__sum_sticky_bits; + }; + struct { + IData/*24:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp; + IData/*31:0*/ __VactIterCount; + QData/*37:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__sum_pos; + QData/*36:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__73__03a37__KET__; + QData/*36:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__36__03a0__KET__; + QData/*37:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__sum_shifted; + QData/*47:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT____VdfgRegularize_h2c6913e2_2_2; + VlUnpacked __Vm_traceActivity; + }; + VlTriggerVec<8> __VstlTriggered; + VlTriggerVec<1> __VicoTriggered; + VlTriggerVec<9> __VactTriggered; + VlTriggerVec<9> __VnbaTriggered; + + // INTERNAL VARIABLES + Vfpnew_top__Syms* const vlSymsp; + + // CONSTRUCTORS + Vfpnew_top___024root(Vfpnew_top__Syms* symsp, const char* v__name); + ~Vfpnew_top___024root(); + VL_UNCOPYABLE(Vfpnew_top___024root); + + // INTERNAL METHODS + void __Vconfigure(bool first); +}; + + +#endif // guard diff --git a/obj_dir/Vfpnew_top___024root__DepSet_h067b37ca__0.cpp b/obj_dir/Vfpnew_top___024root__DepSet_h067b37ca__0.cpp new file mode 100644 index 0000000..b94fba9 --- /dev/null +++ b/obj_dir/Vfpnew_top___024root__DepSet_h067b37ca__0.cpp @@ -0,0 +1,2597 @@ +// Verilated -*- C++ -*- +// DESCRIPTION: Verilator output: Design implementation internals +// See Vfpnew_top.h for the primary calling header + +#include "Vfpnew_top__pch.h" +#include "Vfpnew_top___024root.h" + +void Vfpnew_top___024root___ico_sequent__TOP__0(Vfpnew_top___024root* vlSelf); + +void Vfpnew_top___024root___eval_ico(Vfpnew_top___024root* vlSelf) { + VL_DEBUG_IF(VL_DBG_MSGF("+ Vfpnew_top___024root___eval_ico\n"); ); + Vfpnew_top__Syms* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp; + auto& vlSelfRef = std::ref(*vlSelf).get(); + // Body + if ((1ULL & vlSelfRef.__VicoTriggered.word(0U))) { + Vfpnew_top___024root___ico_sequent__TOP__0(vlSelf); + vlSelfRef.__Vm_traceActivity[1U] = 1U; + } +} + +VL_INLINE_OPT void Vfpnew_top___024root___ico_sequent__TOP__0(Vfpnew_top___024root* vlSelf) { + VL_DEBUG_IF(VL_DBG_MSGF("+ Vfpnew_top___024root___ico_sequent__TOP__0\n"); ); + Vfpnew_top__Syms* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp; + auto& vlSelfRef = std::ref(*vlSelf).get(); + // Init + CData/*2:0*/ fpnew_top__DOT____Vlvbound_h3d815ed0__0; + fpnew_top__DOT____Vlvbound_h3d815ed0__0 = 0; + CData/*1:0*/ fpnew_top__DOT____Vlvbound_h3fc30c31__0; + fpnew_top__DOT____Vlvbound_h3fc30c31__0 = 0; + CData/*1:0*/ fpnew_top__DOT____Vlvbound_hb59f76d3__0; + fpnew_top__DOT____Vlvbound_hb59f76d3__0 = 0; + CData/*2:0*/ fpnew_top__DOT____Vlvbound_hbef70b91__0; + fpnew_top__DOT____Vlvbound_hbef70b91__0 = 0; + SData/*15:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT____Vlvbound_h2b3f47c3__0; + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT____Vlvbound_h2b3f47c3__0 = 0; + // Body + vlSelfRef.fpnew_top__DOT____Vcellinp__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__out_ready_i + = ((~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q)) + & (IData)(vlSelfRef.out_ready_i)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT____Vlvbound_h2b3f47c3__0 + = (0xffffU & (IData)(vlSelfRef.operands_i)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__local_operands + = ((0xffffffff0000ULL & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__local_operands) + | (IData)((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT____Vlvbound_h2b3f47c3__0))); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT____Vlvbound_h2b3f47c3__0 + = (0xffffU & (IData)((vlSelfRef.operands_i + >> 0x10U))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__local_operands + = ((0xffff0000ffffULL & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__local_operands) + | ((QData)((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT____Vlvbound_h2b3f47c3__0)) + << 0x10U)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT____Vlvbound_h2b3f47c3__0 + = (0xffffU & (IData)((vlSelfRef.operands_i + >> 0x20U))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__local_operands + = ((0xffffffffULL & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__local_operands) + | ((QData)((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT____Vlvbound_h2b3f47c3__0)) + << 0x20U)); + vlSelfRef.fpnew_top__DOT__is_boxed = (0x71ffU | + ((((IData)(vlSelfRef.vectorial_op_i) + | (0xffU + == + (0xffU + & (IData)( + (vlSelfRef.operands_i + >> 0x28U))))) + << 0xbU) + | ((((IData)(vlSelfRef.vectorial_op_i) + | (0xffU + == + (0xffU + & (IData)( + (vlSelfRef.operands_i + >> 0x18U))))) + << 0xaU) + | (((IData)(vlSelfRef.vectorial_op_i) + | (0xffU + == + (0xffU + & (IData)( + (vlSelfRef.operands_i + >> 8U))))) + << 9U)))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__in_valid + = ((IData)(vlSelfRef.in_valid_i) & (0U == ([&]() { + vlSelfRef.__Vfunc_get_opgroup__1__op + = vlSelfRef.op_i; + { + if ((8U & (IData)(vlSelfRef.__Vfunc_get_opgroup__1__op))) { + if ((4U & (IData)(vlSelfRef.__Vfunc_get_opgroup__1__op))) { + if ((2U & (IData)(vlSelfRef.__Vfunc_get_opgroup__1__op))) { + if ((1U & (IData)(vlSelfRef.__Vfunc_get_opgroup__1__op))) { + vlSelfRef.__Vfunc_get_opgroup__1__Vfuncout = 0U; + goto __Vlabel1; + } else { + vlSelfRef.__Vfunc_get_opgroup__1__Vfuncout = 3U; + goto __Vlabel1; + } + } else { + vlSelfRef.__Vfunc_get_opgroup__1__Vfuncout = 3U; + goto __Vlabel1; + } + } else if ((2U & (IData)(vlSelfRef.__Vfunc_get_opgroup__1__op))) { + vlSelfRef.__Vfunc_get_opgroup__1__Vfuncout = 3U; + goto __Vlabel1; + } else { + vlSelfRef.__Vfunc_get_opgroup__1__Vfuncout = 2U; + goto __Vlabel1; + } + } else if ((4U & (IData)(vlSelfRef.__Vfunc_get_opgroup__1__op))) { + if ((2U & (IData)(vlSelfRef.__Vfunc_get_opgroup__1__op))) { + vlSelfRef.__Vfunc_get_opgroup__1__Vfuncout = 2U; + goto __Vlabel1; + } else { + vlSelfRef.__Vfunc_get_opgroup__1__Vfuncout = 1U; + goto __Vlabel1; + } + } else { + vlSelfRef.__Vfunc_get_opgroup__1__Vfuncout = 0U; + goto __Vlabel1; + } + __Vlabel1: ; + } + }(), (IData)(vlSelfRef.__Vfunc_get_opgroup__1__Vfuncout)))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__in_valid + = ((IData)(vlSelfRef.in_valid_i) & (1U == ([&]() { + vlSelfRef.__Vfunc_get_opgroup__2__op + = vlSelfRef.op_i; + { + if ((8U & (IData)(vlSelfRef.__Vfunc_get_opgroup__2__op))) { + if ((4U & (IData)(vlSelfRef.__Vfunc_get_opgroup__2__op))) { + if ((2U & (IData)(vlSelfRef.__Vfunc_get_opgroup__2__op))) { + if ((1U & (IData)(vlSelfRef.__Vfunc_get_opgroup__2__op))) { + vlSelfRef.__Vfunc_get_opgroup__2__Vfuncout = 0U; + goto __Vlabel2; + } else { + vlSelfRef.__Vfunc_get_opgroup__2__Vfuncout = 3U; + goto __Vlabel2; + } + } else { + vlSelfRef.__Vfunc_get_opgroup__2__Vfuncout = 3U; + goto __Vlabel2; + } + } else if ((2U & (IData)(vlSelfRef.__Vfunc_get_opgroup__2__op))) { + vlSelfRef.__Vfunc_get_opgroup__2__Vfuncout = 3U; + goto __Vlabel2; + } else { + vlSelfRef.__Vfunc_get_opgroup__2__Vfuncout = 2U; + goto __Vlabel2; + } + } else if ((4U & (IData)(vlSelfRef.__Vfunc_get_opgroup__2__op))) { + if ((2U & (IData)(vlSelfRef.__Vfunc_get_opgroup__2__op))) { + vlSelfRef.__Vfunc_get_opgroup__2__Vfuncout = 2U; + goto __Vlabel2; + } else { + vlSelfRef.__Vfunc_get_opgroup__2__Vfuncout = 1U; + goto __Vlabel2; + } + } else { + vlSelfRef.__Vfunc_get_opgroup__2__Vfuncout = 0U; + goto __Vlabel2; + } + __Vlabel2: ; + } + }(), (IData)(vlSelfRef.__Vfunc_get_opgroup__2__Vfuncout)))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__in_valid + = ((IData)(vlSelfRef.in_valid_i) & (2U == ([&]() { + vlSelfRef.__Vfunc_get_opgroup__3__op + = vlSelfRef.op_i; + { + if ((8U & (IData)(vlSelfRef.__Vfunc_get_opgroup__3__op))) { + if ((4U & (IData)(vlSelfRef.__Vfunc_get_opgroup__3__op))) { + if ((2U & (IData)(vlSelfRef.__Vfunc_get_opgroup__3__op))) { + if ((1U & (IData)(vlSelfRef.__Vfunc_get_opgroup__3__op))) { + vlSelfRef.__Vfunc_get_opgroup__3__Vfuncout = 0U; + goto __Vlabel3; + } else { + vlSelfRef.__Vfunc_get_opgroup__3__Vfuncout = 3U; + goto __Vlabel3; + } + } else { + vlSelfRef.__Vfunc_get_opgroup__3__Vfuncout = 3U; + goto __Vlabel3; + } + } else if ((2U & (IData)(vlSelfRef.__Vfunc_get_opgroup__3__op))) { + vlSelfRef.__Vfunc_get_opgroup__3__Vfuncout = 3U; + goto __Vlabel3; + } else { + vlSelfRef.__Vfunc_get_opgroup__3__Vfuncout = 2U; + goto __Vlabel3; + } + } else if ((4U & (IData)(vlSelfRef.__Vfunc_get_opgroup__3__op))) { + if ((2U & (IData)(vlSelfRef.__Vfunc_get_opgroup__3__op))) { + vlSelfRef.__Vfunc_get_opgroup__3__Vfuncout = 2U; + goto __Vlabel3; + } else { + vlSelfRef.__Vfunc_get_opgroup__3__Vfuncout = 1U; + goto __Vlabel3; + } + } else { + vlSelfRef.__Vfunc_get_opgroup__3__Vfuncout = 0U; + goto __Vlabel3; + } + __Vlabel3: ; + } + }(), (IData)(vlSelfRef.__Vfunc_get_opgroup__3__Vfuncout)))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__in_valid + = ((IData)(vlSelfRef.in_valid_i) & (3U == ([&]() { + vlSelfRef.__Vfunc_get_opgroup__4__op + = vlSelfRef.op_i; + { + if ((8U & (IData)(vlSelfRef.__Vfunc_get_opgroup__4__op))) { + if ((4U & (IData)(vlSelfRef.__Vfunc_get_opgroup__4__op))) { + if ((2U & (IData)(vlSelfRef.__Vfunc_get_opgroup__4__op))) { + if ((1U & (IData)(vlSelfRef.__Vfunc_get_opgroup__4__op))) { + vlSelfRef.__Vfunc_get_opgroup__4__Vfuncout = 0U; + goto __Vlabel4; + } else { + vlSelfRef.__Vfunc_get_opgroup__4__Vfuncout = 3U; + goto __Vlabel4; + } + } else { + vlSelfRef.__Vfunc_get_opgroup__4__Vfuncout = 3U; + goto __Vlabel4; + } + } else if ((2U & (IData)(vlSelfRef.__Vfunc_get_opgroup__4__op))) { + vlSelfRef.__Vfunc_get_opgroup__4__Vfuncout = 3U; + goto __Vlabel4; + } else { + vlSelfRef.__Vfunc_get_opgroup__4__Vfuncout = 2U; + goto __Vlabel4; + } + } else if ((4U & (IData)(vlSelfRef.__Vfunc_get_opgroup__4__op))) { + if ((2U & (IData)(vlSelfRef.__Vfunc_get_opgroup__4__op))) { + vlSelfRef.__Vfunc_get_opgroup__4__Vfuncout = 2U; + goto __Vlabel4; + } else { + vlSelfRef.__Vfunc_get_opgroup__4__Vfuncout = 1U; + goto __Vlabel4; + } + } else { + vlSelfRef.__Vfunc_get_opgroup__4__Vfuncout = 0U; + goto __Vlabel4; + } + __Vlabel4: ; + } + }(), (IData)(vlSelfRef.__Vfunc_get_opgroup__4__Vfuncout)))); + vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gnt_nodes__BRA__1__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q) + & (IData)(vlSelfRef.out_ready_i)); + fpnew_top__DOT____Vlvbound_h3d815ed0__0 = (7U & (IData)(vlSelfRef.fpnew_top__DOT__is_boxed)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__input_boxed + = ((0x7ff8U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__input_boxed)) + | (IData)(fpnew_top__DOT____Vlvbound_h3d815ed0__0)); + fpnew_top__DOT____Vlvbound_h3d815ed0__0 = (7U & + ((IData)(vlSelfRef.fpnew_top__DOT__is_boxed) + >> 3U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__input_boxed + = ((0x7fc7U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__input_boxed)) + | ((IData)(fpnew_top__DOT____Vlvbound_h3d815ed0__0) + << 3U)); + fpnew_top__DOT____Vlvbound_h3d815ed0__0 = (7U & + ((IData)(vlSelfRef.fpnew_top__DOT__is_boxed) + >> 6U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__input_boxed + = ((0x7e3fU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__input_boxed)) + | ((IData)(fpnew_top__DOT____Vlvbound_h3d815ed0__0) + << 6U)); + fpnew_top__DOT____Vlvbound_h3d815ed0__0 = (7U & + ((IData)(vlSelfRef.fpnew_top__DOT__is_boxed) + >> 9U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__input_boxed + = ((0x71ffU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__input_boxed)) + | ((IData)(fpnew_top__DOT____Vlvbound_h3d815ed0__0) + << 9U)); + fpnew_top__DOT____Vlvbound_h3d815ed0__0 = (7U & + ((IData)(vlSelfRef.fpnew_top__DOT__is_boxed) + >> 0xcU)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__input_boxed + = ((0xfffU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__input_boxed)) + | ((IData)(fpnew_top__DOT____Vlvbound_h3d815ed0__0) + << 0xcU)); + fpnew_top__DOT____Vlvbound_h3fc30c31__0 = (3U & (IData)(vlSelfRef.fpnew_top__DOT__is_boxed)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__input_boxed + = ((0x3fcU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__input_boxed)) + | (IData)(fpnew_top__DOT____Vlvbound_h3fc30c31__0)); + fpnew_top__DOT____Vlvbound_h3fc30c31__0 = (3U & + ((IData)(vlSelfRef.fpnew_top__DOT__is_boxed) + >> 3U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__input_boxed + = ((0x3f3U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__input_boxed)) + | ((IData)(fpnew_top__DOT____Vlvbound_h3fc30c31__0) + << 2U)); + fpnew_top__DOT____Vlvbound_h3fc30c31__0 = (3U & + ((IData)(vlSelfRef.fpnew_top__DOT__is_boxed) + >> 6U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__input_boxed + = ((0x3cfU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__input_boxed)) + | ((IData)(fpnew_top__DOT____Vlvbound_h3fc30c31__0) + << 4U)); + fpnew_top__DOT____Vlvbound_h3fc30c31__0 = (3U & + ((IData)(vlSelfRef.fpnew_top__DOT__is_boxed) + >> 9U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__input_boxed + = ((0x33fU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__input_boxed)) + | ((IData)(fpnew_top__DOT____Vlvbound_h3fc30c31__0) + << 6U)); + fpnew_top__DOT____Vlvbound_h3fc30c31__0 = (3U & + ((IData)(vlSelfRef.fpnew_top__DOT__is_boxed) + >> 0xcU)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__input_boxed + = ((0xffU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__input_boxed)) + | ((IData)(fpnew_top__DOT____Vlvbound_h3fc30c31__0) + << 8U)); + fpnew_top__DOT____Vlvbound_hb59f76d3__0 = (3U & (IData)(vlSelfRef.fpnew_top__DOT__is_boxed)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__input_boxed + = ((0x3fcU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__input_boxed)) + | (IData)(fpnew_top__DOT____Vlvbound_hb59f76d3__0)); + fpnew_top__DOT____Vlvbound_hb59f76d3__0 = (3U & + ((IData)(vlSelfRef.fpnew_top__DOT__is_boxed) + >> 3U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__input_boxed + = ((0x3f3U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__input_boxed)) + | ((IData)(fpnew_top__DOT____Vlvbound_hb59f76d3__0) + << 2U)); + fpnew_top__DOT____Vlvbound_hb59f76d3__0 = (3U & + ((IData)(vlSelfRef.fpnew_top__DOT__is_boxed) + >> 6U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__input_boxed + = ((0x3cfU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__input_boxed)) + | ((IData)(fpnew_top__DOT____Vlvbound_hb59f76d3__0) + << 4U)); + fpnew_top__DOT____Vlvbound_hb59f76d3__0 = (3U & + ((IData)(vlSelfRef.fpnew_top__DOT__is_boxed) + >> 9U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__input_boxed + = ((0x33fU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__input_boxed)) + | ((IData)(fpnew_top__DOT____Vlvbound_hb59f76d3__0) + << 6U)); + fpnew_top__DOT____Vlvbound_hb59f76d3__0 = (3U & + ((IData)(vlSelfRef.fpnew_top__DOT__is_boxed) + >> 0xcU)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__input_boxed + = ((0xffU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__input_boxed)) + | ((IData)(fpnew_top__DOT____Vlvbound_hb59f76d3__0) + << 8U)); + fpnew_top__DOT____Vlvbound_hbef70b91__0 = (7U & (IData)(vlSelfRef.fpnew_top__DOT__is_boxed)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__input_boxed + = ((0x7ff8U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__input_boxed)) + | (IData)(fpnew_top__DOT____Vlvbound_hbef70b91__0)); + fpnew_top__DOT____Vlvbound_hbef70b91__0 = (7U & + ((IData)(vlSelfRef.fpnew_top__DOT__is_boxed) + >> 3U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__input_boxed + = ((0x7fc7U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__input_boxed)) + | ((IData)(fpnew_top__DOT____Vlvbound_hbef70b91__0) + << 3U)); + fpnew_top__DOT____Vlvbound_hbef70b91__0 = (7U & + ((IData)(vlSelfRef.fpnew_top__DOT__is_boxed) + >> 6U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__input_boxed + = ((0x7e3fU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__input_boxed)) + | ((IData)(fpnew_top__DOT____Vlvbound_hbef70b91__0) + << 6U)); + fpnew_top__DOT____Vlvbound_hbef70b91__0 = (7U & + ((IData)(vlSelfRef.fpnew_top__DOT__is_boxed) + >> 9U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__input_boxed + = ((0x71ffU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__input_boxed)) + | ((IData)(fpnew_top__DOT____Vlvbound_hbef70b91__0) + << 9U)); + fpnew_top__DOT____Vlvbound_hbef70b91__0 = (7U & + ((IData)(vlSelfRef.fpnew_top__DOT__is_boxed) + >> 0xcU)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__input_boxed + = ((0xfffU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__input_boxed)) + | ((IData)(fpnew_top__DOT____Vlvbound_hbef70b91__0) + << 0xcU)); + vlSelfRef.in_ready_o = ((IData)(vlSelfRef.in_valid_i) + & ((((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__in_valid) + & ((4U >= (IData)(vlSelfRef.dst_fmt_i)) + ? 0U : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT____Vxrand_h8df6f50b__0))) + << 3U) | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__in_valid) + & ((4U + >= (IData)(vlSelfRef.dst_fmt_i)) + ? 0U + : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT____Vxrand_h8df6f50b__0))) + << 2U)) + | ((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__in_valid) + & ((4U >= (IData)(vlSelfRef.dst_fmt_i)) + ? 0U : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT____Vxrand_h8df6f50b__0))) + << 1U) | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__in_valid) + & ((4U + >= (IData)(vlSelfRef.dst_fmt_i)) + ? + (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_ready__BRA__1__KET__) + << 2U) + >> (IData)(vlSelfRef.dst_fmt_i)) + : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT____Vxrand_h8df6f50b__0))))) + >> ([&]() { + vlSelfRef.__Vfunc_get_opgroup__0__op + = vlSelfRef.op_i; + { + if ((8U & (IData)(vlSelfRef.__Vfunc_get_opgroup__0__op))) { + if ((4U & (IData)(vlSelfRef.__Vfunc_get_opgroup__0__op))) { + if ((2U & (IData)(vlSelfRef.__Vfunc_get_opgroup__0__op))) { + if ((1U & (IData)(vlSelfRef.__Vfunc_get_opgroup__0__op))) { + vlSelfRef.__Vfunc_get_opgroup__0__Vfuncout = 0U; + goto __Vlabel5; + } else { + vlSelfRef.__Vfunc_get_opgroup__0__Vfuncout = 3U; + goto __Vlabel5; + } + } else { + vlSelfRef.__Vfunc_get_opgroup__0__Vfuncout = 3U; + goto __Vlabel5; + } + } else if ((2U & (IData)(vlSelfRef.__Vfunc_get_opgroup__0__op))) { + vlSelfRef.__Vfunc_get_opgroup__0__Vfuncout = 3U; + goto __Vlabel5; + } else { + vlSelfRef.__Vfunc_get_opgroup__0__Vfuncout = 2U; + goto __Vlabel5; + } + } else if ((4U & (IData)(vlSelfRef.__Vfunc_get_opgroup__0__op))) { + if ((2U & (IData)(vlSelfRef.__Vfunc_get_opgroup__0__op))) { + vlSelfRef.__Vfunc_get_opgroup__0__Vfuncout = 2U; + goto __Vlabel5; + } else { + vlSelfRef.__Vfunc_get_opgroup__0__Vfuncout = 1U; + goto __Vlabel5; + } + } else { + vlSelfRef.__Vfunc_get_opgroup__0__Vfuncout = 0U; + goto __Vlabel5; + } + __Vlabel5: ; + } + }(), (IData)(vlSelfRef.__Vfunc_get_opgroup__0__Vfuncout)))); + if (vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gnt_nodes__BRA__1__KET__) { + vlSelfRef.fpnew_top__DOT____Vcellinp__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__out_ready_i + = (1U & (~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q))); + vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__rr_d + = vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_idx; + vlSelfRef.fpnew_top__DOT____Vcellinp__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__out_ready_i + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q; + } else { + vlSelfRef.fpnew_top__DOT____Vcellinp__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__out_ready_i = 0U; + vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__rr_d + = vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__rr_q; + vlSelfRef.fpnew_top__DOT____Vcellinp__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__out_ready_i = 0U; + } + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gnt_nodes__BRA__2__KET__ + = ((~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q)) + & (IData)(vlSelfRef.fpnew_top__DOT____Vcellinp__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__out_ready_i)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__rr_d + = (((IData)(vlSelfRef.fpnew_top__DOT____Vcellinp__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__out_ready_i) + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q)) + ? ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__0__KET__) + ? (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__upper_idx) + : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_idx)) + : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__rr_q)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gnt_nodes__BRA__1__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q) + & (IData)(vlSelfRef.fpnew_top__DOT____Vcellinp__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__out_ready_i)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__out_ready + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q) + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gnt_nodes__BRA__1__KET__)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_ready__BRA__1__KET__ + = (1U & ((~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q)) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__out_ready))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__gen_output_pipeline__BRA__0__KET____DOT__reg_ena + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_ready__BRA__1__KET__) + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_ready__BRA__1__KET__ + = (1U & ((~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_valid_q)) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_ready__BRA__1__KET__))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__gen_inside_pipeline__BRA__1__KET____DOT__reg_ena + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_ready__BRA__1__KET__) + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_valid_q)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_ready__BRA__2__KET__ + = (1U & ((~ ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_valid_q) + >> 1U)) | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_ready__BRA__1__KET__))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__gen_inside_pipeline__BRA__0__KET____DOT__reg_ena + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_ready__BRA__2__KET__) + & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_valid_q) + >> 1U)); +} + +void Vfpnew_top___024root___eval_triggers__ico(Vfpnew_top___024root* vlSelf); + +bool Vfpnew_top___024root___eval_phase__ico(Vfpnew_top___024root* vlSelf) { + VL_DEBUG_IF(VL_DBG_MSGF("+ Vfpnew_top___024root___eval_phase__ico\n"); ); + Vfpnew_top__Syms* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp; + auto& vlSelfRef = std::ref(*vlSelf).get(); + // Init + CData/*0:0*/ __VicoExecute; + // Body + Vfpnew_top___024root___eval_triggers__ico(vlSelf); + __VicoExecute = vlSelfRef.__VicoTriggered.any(); + if (__VicoExecute) { + Vfpnew_top___024root___eval_ico(vlSelf); + } + return (__VicoExecute); +} + +void Vfpnew_top___024root___act_sequent__TOP__0(Vfpnew_top___024root* vlSelf); +void Vfpnew_top___024root___act_sequent__TOP__1(Vfpnew_top___024root* vlSelf); +void Vfpnew_top___024root___act_sequent__TOP__2(Vfpnew_top___024root* vlSelf); +void Vfpnew_top___024root___act_sequent__TOP__3(Vfpnew_top___024root* vlSelf); +void Vfpnew_top___024root___act_sequent__TOP__4(Vfpnew_top___024root* vlSelf); +void Vfpnew_top___024root___act_sequent__TOP__5(Vfpnew_top___024root* vlSelf); +void Vfpnew_top___024root___act_sequent__TOP__6(Vfpnew_top___024root* vlSelf); + +void Vfpnew_top___024root___eval_act(Vfpnew_top___024root* vlSelf) { + VL_DEBUG_IF(VL_DBG_MSGF("+ Vfpnew_top___024root___eval_act\n"); ); + Vfpnew_top__Syms* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp; + auto& vlSelfRef = std::ref(*vlSelf).get(); + // Body + if ((0x10ULL & vlSelfRef.__VactTriggered.word(0U))) { + Vfpnew_top___024root___act_sequent__TOP__0(vlSelf); + } + if ((0x20ULL & vlSelfRef.__VactTriggered.word(0U))) { + Vfpnew_top___024root___act_sequent__TOP__1(vlSelf); + } + if ((0x40ULL & vlSelfRef.__VactTriggered.word(0U))) { + Vfpnew_top___024root___act_sequent__TOP__2(vlSelf); + } + if ((2ULL & vlSelfRef.__VactTriggered.word(0U))) { + Vfpnew_top___024root___act_sequent__TOP__3(vlSelf); + } + if ((4ULL & vlSelfRef.__VactTriggered.word(0U))) { + Vfpnew_top___024root___act_sequent__TOP__4(vlSelf); + } + if ((1ULL & vlSelfRef.__VactTriggered.word(0U))) { + Vfpnew_top___024root___act_sequent__TOP__5(vlSelf); + } + if ((8ULL & vlSelfRef.__VactTriggered.word(0U))) { + Vfpnew_top___024root___act_sequent__TOP__6(vlSelf); + } +} + +VL_INLINE_OPT void Vfpnew_top___024root___act_sequent__TOP__0(Vfpnew_top___024root* vlSelf) { + VL_DEBUG_IF(VL_DBG_MSGF("+ Vfpnew_top___024root___act_sequent__TOP__0\n"); ); + Vfpnew_top__Syms* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp; + auto& vlSelfRef = std::ref(*vlSelf).get(); + // Body + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes + = (0x1294U | ((0x40U & (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes + >> 0xcU)) | ((8U & + (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes + >> 9U)) + | (3U + & (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes + >> 6U))))); +} + +VL_INLINE_OPT void Vfpnew_top___024root___act_sequent__TOP__1(Vfpnew_top___024root* vlSelf) { + VL_DEBUG_IF(VL_DBG_MSGF("+ Vfpnew_top___024root___act_sequent__TOP__1\n"); ); + Vfpnew_top__Syms* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp; + auto& vlSelfRef = std::ref(*vlSelf).get(); + // Body + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes + = (0x1294U | ((0x40U & (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes + >> 0xcU)) | ((8U & + (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes + >> 9U)) + | (3U + & (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes + >> 6U))))); +} + +VL_INLINE_OPT void Vfpnew_top___024root___act_sequent__TOP__2(Vfpnew_top___024root* vlSelf) { + VL_DEBUG_IF(VL_DBG_MSGF("+ Vfpnew_top___024root___act_sequent__TOP__2\n"); ); + Vfpnew_top__Syms* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp; + auto& vlSelfRef = std::ref(*vlSelf).get(); + // Body + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes + = (0x1294U | ((0x40U & (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes + >> 0xcU)) | ((8U & + (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes + >> 9U)) + | (3U + & (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes + >> 6U))))); +} + +VL_INLINE_OPT void Vfpnew_top___024root___act_sequent__TOP__3(Vfpnew_top___024root* vlSelf) { + VL_DEBUG_IF(VL_DBG_MSGF("+ Vfpnew_top___024root___act_sequent__TOP__3\n"); ); + Vfpnew_top__Syms* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp; + auto& vlSelfRef = std::ref(*vlSelf).get(); + // Body + vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes + = (0x10U | ((4U & ((~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q)) + << 2U)) | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q) + ? (1U & ((IData)(vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes) + >> 2U)) + : (2U | (1U + & ((IData)(vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes) + >> 4U)))))); +} + +VL_INLINE_OPT void Vfpnew_top___024root___act_sequent__TOP__4(Vfpnew_top___024root* vlSelf) { + VL_DEBUG_IF(VL_DBG_MSGF("+ Vfpnew_top___024root___act_sequent__TOP__4\n"); ); + Vfpnew_top__Syms* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp; + auto& vlSelfRef = std::ref(*vlSelf).get(); + // Body + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes + = (0x290U | ((0x1000U & ((~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q)) + << 0xcU)) | ((0x40U + & (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes + >> 0xcU)) + | ((8U + & (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes + >> 9U)) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q) + ? + (3U + & (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes + >> 3U)) + : + (4U + | (3U + & (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes + >> 6U)))))))); +} + +VL_INLINE_OPT void Vfpnew_top___024root___act_sequent__TOP__5(Vfpnew_top___024root* vlSelf) { + VL_DEBUG_IF(VL_DBG_MSGF("+ Vfpnew_top___024root___act_sequent__TOP__5\n"); ); + Vfpnew_top__Syms* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp; + auto& vlSelfRef = std::ref(*vlSelf).get(); + // Body + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_ready__BRA__1__KET__ + = (1U & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_ready__BRA__1__KET__) + | (~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_valid_q)))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__gen_input_pipeline__BRA__0__KET____DOT__reg_ena + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_ready__BRA__1__KET__) + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_valid_q)); + vlSelfRef.in_ready_o = ((IData)(vlSelfRef.in_valid_i) + & ((((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__in_valid) + & ((4U >= (IData)(vlSelfRef.dst_fmt_i)) + ? 0U : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT____Vxrand_h8df6f50b__0))) + << 3U) | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__in_valid) + & ((4U + >= (IData)(vlSelfRef.dst_fmt_i)) + ? 0U + : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT____Vxrand_h8df6f50b__0))) + << 2U)) + | ((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__in_valid) + & ((4U >= (IData)(vlSelfRef.dst_fmt_i)) + ? 0U : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT____Vxrand_h8df6f50b__0))) + << 1U) | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__in_valid) + & ((4U + >= (IData)(vlSelfRef.dst_fmt_i)) + ? + (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_ready__BRA__1__KET__) + << 2U) + >> (IData)(vlSelfRef.dst_fmt_i)) + : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT____Vxrand_h8df6f50b__0))))) + >> ([&]() { + vlSelfRef.__Vfunc_get_opgroup__0__op + = vlSelfRef.op_i; + { + if ((8U & (IData)(vlSelfRef.__Vfunc_get_opgroup__0__op))) { + if ((4U & (IData)(vlSelfRef.__Vfunc_get_opgroup__0__op))) { + if ((2U & (IData)(vlSelfRef.__Vfunc_get_opgroup__0__op))) { + if ((1U & (IData)(vlSelfRef.__Vfunc_get_opgroup__0__op))) { + vlSelfRef.__Vfunc_get_opgroup__0__Vfuncout = 0U; + goto __Vlabel6; + } else { + vlSelfRef.__Vfunc_get_opgroup__0__Vfuncout = 3U; + goto __Vlabel6; + } + } else { + vlSelfRef.__Vfunc_get_opgroup__0__Vfuncout = 3U; + goto __Vlabel6; + } + } else if ((2U & (IData)(vlSelfRef.__Vfunc_get_opgroup__0__op))) { + vlSelfRef.__Vfunc_get_opgroup__0__Vfuncout = 3U; + goto __Vlabel6; + } else { + vlSelfRef.__Vfunc_get_opgroup__0__Vfuncout = 2U; + goto __Vlabel6; + } + } else if ((4U & (IData)(vlSelfRef.__Vfunc_get_opgroup__0__op))) { + if ((2U & (IData)(vlSelfRef.__Vfunc_get_opgroup__0__op))) { + vlSelfRef.__Vfunc_get_opgroup__0__Vfuncout = 2U; + goto __Vlabel6; + } else { + vlSelfRef.__Vfunc_get_opgroup__0__Vfuncout = 1U; + goto __Vlabel6; + } + } else { + vlSelfRef.__Vfunc_get_opgroup__0__Vfuncout = 0U; + goto __Vlabel6; + } + __Vlabel6: ; + } + }(), (IData)(vlSelfRef.__Vfunc_get_opgroup__0__Vfuncout)))); +} + +VL_INLINE_OPT void Vfpnew_top___024root___act_sequent__TOP__6(Vfpnew_top___024root* vlSelf) { + VL_DEBUG_IF(VL_DBG_MSGF("+ Vfpnew_top___024root___act_sequent__TOP__6\n"); ); + Vfpnew_top__Syms* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp; + auto& vlSelfRef = std::ref(*vlSelf).get(); + // Init + CData/*31:0*/ __Vtemp_1; + // Body + __Vtemp_1 = (((VL_LTES_III(32, 0x1fU, VL_EXTENDS_II(32,7, (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__final_exponent))) + | (0x1fU == (0x1fU & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__rounded_abs) + >> 0xaU)))) + << 2U) | (((((0U == (0x1fU & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__rounded_abs) + >> 0xaU))) + | ((0U == (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__pre_round_exponent)) + & (IData)(((0x400U + == (0x7c00U + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__rounded_abs))) + & ((3U + != (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__round_sticky_bits)) + | ((~ + (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__sum_sticky_bits + >> 0x18U)) + & ((0U + == (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_rnd_mode_q__BRA__2__03a0__KET__)) + | (4U + == (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_rnd_mode_q__BRA__2__03a0__KET__))))))))) + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__regular_status)) + << 1U) | (((0U != (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__round_sticky_bits)) + | VL_LTES_III(32, 0x1fU, + VL_EXTENDS_II(32,7, (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__final_exponent)))) + | (0x1fU == + (0x1fU & + ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__rounded_abs) + >> 0xaU)))))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__regular_status + = __Vtemp_1; +} + +void Vfpnew_top___024root___nba_sequent__TOP__0(Vfpnew_top___024root* vlSelf); +void Vfpnew_top___024root___nba_comb__TOP__0(Vfpnew_top___024root* vlSelf); + +void Vfpnew_top___024root___eval_nba(Vfpnew_top___024root* vlSelf) { + VL_DEBUG_IF(VL_DBG_MSGF("+ Vfpnew_top___024root___eval_nba\n"); ); + Vfpnew_top__Syms* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp; + auto& vlSelfRef = std::ref(*vlSelf).get(); + // Body + if ((0x180ULL & vlSelfRef.__VnbaTriggered.word(0U))) { + Vfpnew_top___024root___nba_sequent__TOP__0(vlSelf); + vlSelfRef.__Vm_traceActivity[2U] = 1U; + } + if ((0x181ULL & vlSelfRef.__VnbaTriggered.word(0U))) { + Vfpnew_top___024root___nba_comb__TOP__0(vlSelf); + } + if ((0x182ULL & vlSelfRef.__VnbaTriggered.word(0U))) { + Vfpnew_top___024root___act_sequent__TOP__3(vlSelf); + } + if ((0x184ULL & vlSelfRef.__VnbaTriggered.word(0U))) { + Vfpnew_top___024root___act_sequent__TOP__4(vlSelf); + } + if ((0x188ULL & vlSelfRef.__VnbaTriggered.word(0U))) { + Vfpnew_top___024root___act_sequent__TOP__6(vlSelf); + } +} + +VL_INLINE_OPT void Vfpnew_top___024root___nba_sequent__TOP__0(Vfpnew_top___024root* vlSelf) { + VL_DEBUG_IF(VL_DBG_MSGF("+ Vfpnew_top___024root___nba_sequent__TOP__0\n"); ); + Vfpnew_top__Syms* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp; + auto& vlSelfRef = std::ref(*vlSelf).get(); + // Init + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT____Vlvbound_h5a5e22bf__0; + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT____Vlvbound_h5a5e22bf__0 = 0; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT____Vlvbound_h5a5e22bf__0; + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT____Vlvbound_h5a5e22bf__0 = 0; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT____VdfgExtracted_h3fb90455__0; + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT____VdfgExtracted_h3fb90455__0 = 0; + IData/*24:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT____VdfgExtracted_h11a4c16d__0; + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT____VdfgExtracted_h11a4c16d__0 = 0; + CData/*4:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__64__03a60__KET__; + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__64__03a60__KET__ = 0; + CData/*4:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__59__03a55__KET__; + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__59__03a55__KET__ = 0; + CData/*4:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__54__03a50__KET__; + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__54__03a50__KET__ = 0; + CData/*4:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__49__03a45__KET__; + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__49__03a45__KET__ = 0; + CData/*4:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__44__03a40__KET__; + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__44__03a40__KET__ = 0; + CData/*4:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__39__03a35__KET__; + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__39__03a35__KET__ = 0; + CData/*4:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__34__03a30__KET__; + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__34__03a30__KET__ = 0; + CData/*4:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__29__03a25__KET__; + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__29__03a25__KET__ = 0; + CData/*4:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__24__03a20__KET__; + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__24__03a20__KET__ = 0; + CData/*4:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__19__03a15__KET__; + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__19__03a15__KET__ = 0; + CData/*4:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__14__03a10__KET__; + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__14__03a10__KET__ = 0; + CData/*4:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__9__03a5__KET__; + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__9__03a5__KET__ = 0; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0; + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0 = 0; + CData/*0:0*/ __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_valid_q; + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_valid_q = 0; + CData/*0:0*/ __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_tag_q; + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_tag_q = 0; + CData/*0:0*/ __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_mask_q; + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_mask_q = 0; + CData/*0:0*/ __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_aux_q; + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_aux_q = 0; + CData/*1:0*/ __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_valid_q; + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_valid_q = 0; + CData/*0:0*/ __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_eff_sub_q__BRA__1__KET__; + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_eff_sub_q__BRA__1__KET__ = 0; + CData/*6:0*/ __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_prod_q__BRA__13__03a7__KET__; + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_prod_q__BRA__13__03a7__KET__ = 0; + CData/*6:0*/ __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_diff_q__BRA__13__03a7__KET__; + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_diff_q__BRA__13__03a7__KET__ = 0; + CData/*6:0*/ __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_tent_exp_q__BRA__13__03a7__KET__; + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_tent_exp_q__BRA__13__03a7__KET__ = 0; + CData/*5:0*/ __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_add_shamt_q__BRA__11__03a6__KET__; + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_add_shamt_q__BRA__11__03a6__KET__ = 0; + CData/*0:0*/ __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sticky_q__BRA__1__KET__; + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sticky_q__BRA__1__KET__ = 0; + QData/*36:0*/ __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__73__03a37__KET__; + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__73__03a37__KET__ = 0; + CData/*0:0*/ __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_res_is_spec_q__BRA__1__KET__; + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_res_is_spec_q__BRA__1__KET__ = 0; + CData/*0:0*/ __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_tag_q__BRA__1__KET__; + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_tag_q__BRA__1__KET__ = 0; + CData/*0:0*/ __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_mask_q__BRA__1__KET__; + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_mask_q__BRA__1__KET__ = 0; + CData/*0:0*/ __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_aux_q__BRA__1__KET__; + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_aux_q__BRA__1__KET__ = 0; + CData/*0:0*/ __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_final_sign_q__BRA__0__KET__; + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_final_sign_q__BRA__0__KET__ = 0; + CData/*2:0*/ __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_rnd_mode_q__BRA__2__03a0__KET__; + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_rnd_mode_q__BRA__2__03a0__KET__ = 0; + CData/*0:0*/ __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_res_is_spec_q__BRA__0__KET__; + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_res_is_spec_q__BRA__0__KET__ = 0; + SData/*15:0*/ __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_spec_res_q__BRA__15__03a0__KET__; + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_spec_res_q__BRA__15__03a0__KET__ = 0; + CData/*4:0*/ __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_spec_stat_q__BRA__4__03a0__KET__; + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_spec_stat_q__BRA__4__03a0__KET__ = 0; + CData/*0:0*/ __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_tag_q__BRA__0__KET__; + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_tag_q__BRA__0__KET__ = 0; + CData/*0:0*/ __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_mask_q__BRA__0__KET__; + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_mask_q__BRA__0__KET__ = 0; + VlWide<3>/*95:0*/ __Vtemp_26; + // Body + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_mask_q + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_mask_q; + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_aux_q + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_aux_q; + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_tag_q + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_tag_q; + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_tag_q__BRA__1__KET__ + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_tag_q__BRA__1__KET__; + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_mask_q__BRA__1__KET__ + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_mask_q__BRA__1__KET__; + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_aux_q__BRA__1__KET__ + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_aux_q__BRA__1__KET__; + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_spec_res_q__BRA__15__03a0__KET__ + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_spec_res_q__BRA__15__03a0__KET__; + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_spec_stat_q__BRA__4__03a0__KET__ + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_spec_stat_q__BRA__4__03a0__KET__; + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_tag_q__BRA__0__KET__ + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_tag_q__BRA__0__KET__; + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_mask_q__BRA__0__KET__ + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_mask_q__BRA__0__KET__; + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_res_is_spec_q__BRA__1__KET__ + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_res_is_spec_q__BRA__1__KET__; + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_res_is_spec_q__BRA__0__KET__ + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_res_is_spec_q__BRA__0__KET__; + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sticky_q__BRA__1__KET__ + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sticky_q__BRA__1__KET__; + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_add_shamt_q__BRA__11__03a6__KET__ + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_add_shamt_q__BRA__11__03a6__KET__; + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_diff_q__BRA__13__03a7__KET__ + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_diff_q__BRA__13__03a7__KET__; + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_prod_q__BRA__13__03a7__KET__ + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_prod_q__BRA__13__03a7__KET__; + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_eff_sub_q__BRA__1__KET__ + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_eff_sub_q__BRA__1__KET__; + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_final_sign_q__BRA__0__KET__ + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_final_sign_q__BRA__0__KET__; + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__73__03a37__KET__ + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__73__03a37__KET__; + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_tent_exp_q__BRA__13__03a7__KET__ + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_tent_exp_q__BRA__13__03a7__KET__; + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_rnd_mode_q__BRA__2__03a0__KET__ + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_rnd_mode_q__BRA__2__03a0__KET__; + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_valid_q + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_valid_q; + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_valid_q + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_valid_q; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_aux_q + = ((IData)(vlSelfRef.rst_ni) && ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__gen_output_pipeline__BRA__0__KET____DOT__reg_ena) + ? (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_aux_q__BRA__0__KET__) + : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_aux_q))); + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_mask_q + = ((IData)(vlSelfRef.rst_ni) && ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__gen_input_pipeline__BRA__0__KET____DOT__reg_ena) + || (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_mask_q))); + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_aux_q + = ((IData)(vlSelfRef.rst_ni) && ((1U & (~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__gen_input_pipeline__BRA__0__KET____DOT__reg_ena))) + && (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_aux_q))); + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_tag_q + = ((IData)(vlSelfRef.rst_ni) && ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__gen_input_pipeline__BRA__0__KET____DOT__reg_ena) + ? (IData)(vlSelfRef.tag_i) + : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_tag_q))); + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_tag_q__BRA__1__KET__ + = ((IData)(vlSelfRef.rst_ni) && ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__gen_inside_pipeline__BRA__0__KET____DOT__reg_ena) + ? (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_tag_q) + : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_tag_q__BRA__1__KET__))); + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_mask_q__BRA__1__KET__ + = ((IData)(vlSelfRef.rst_ni) && ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__gen_inside_pipeline__BRA__0__KET____DOT__reg_ena) + ? (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_mask_q) + : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_mask_q__BRA__1__KET__))); + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_aux_q__BRA__1__KET__ + = ((IData)(vlSelfRef.rst_ni) && ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__gen_inside_pipeline__BRA__0__KET____DOT__reg_ena) + ? (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_aux_q) + : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_aux_q__BRA__1__KET__))); + if (vlSelfRef.rst_ni) { + if (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__gen_inside_pipeline__BRA__1__KET____DOT__reg_ena) { + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_spec_res_q__BRA__15__03a0__KET__ + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_spec_res_q__BRA__31__03a16__KET__; + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_spec_stat_q__BRA__4__03a0__KET__ + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_spec_stat_q__BRA__9__03a5__KET__; + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_rnd_mode_q__BRA__2__03a0__KET__ + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_rnd_mode_q__BRA__5__03a3__KET__; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_tent_exp_q__BRA__6__03a0__KET__ + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_tent_exp_q__BRA__13__03a7__KET__; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_add_shamt_q__BRA__5__03a0__KET__ + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_add_shamt_q__BRA__11__03a6__KET__; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_prod_q__BRA__6__03a0__KET__ + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_prod_q__BRA__13__03a7__KET__; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_diff_q__BRA__6__03a0__KET__ + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_diff_q__BRA__13__03a7__KET__; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__36__03a0__KET__ + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__73__03a37__KET__; + } else { + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_spec_res_q__BRA__15__03a0__KET__ + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_spec_res_q__BRA__15__03a0__KET__; + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_spec_stat_q__BRA__4__03a0__KET__ + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_spec_stat_q__BRA__4__03a0__KET__; + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_rnd_mode_q__BRA__2__03a0__KET__ + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_rnd_mode_q__BRA__2__03a0__KET__; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_tent_exp_q__BRA__6__03a0__KET__ + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_tent_exp_q__BRA__6__03a0__KET__; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_add_shamt_q__BRA__5__03a0__KET__ + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_add_shamt_q__BRA__5__03a0__KET__; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_prod_q__BRA__6__03a0__KET__ + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_prod_q__BRA__6__03a0__KET__; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_diff_q__BRA__6__03a0__KET__ + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_diff_q__BRA__6__03a0__KET__; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__36__03a0__KET__ + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__36__03a0__KET__; + } + if (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__gen_inside_pipeline__BRA__0__KET____DOT__reg_ena) { + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_spec_stat_q__BRA__9__03a5__KET__ + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__special_status; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_spec_res_q__BRA__31__03a16__KET__ + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__special_result; + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_add_shamt_q__BRA__11__03a6__KET__ + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_add_shamt_q_w; + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_diff_q__BRA__13__03a7__KET__ + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_diff_q_w; + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_prod_q__BRA__13__03a7__KET__ + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_prod_q_w; + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__73__03a37__KET__ + = (0x1fffffffffULL & (0x3fffffffffULL + & (((~ (IData)( + (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__sum_pos + >> 0x25U))) + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__effective_subtraction)) + ? ((0x1fffffffffULL + & (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT____VdfgRegularize_h2c6913e2_2_2 + >> 0xbU)) + - (0x1fffffffffULL + & VL_SHIFTL_QQI(37,37,32, (QData)((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__product)), 2U))) + : vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__sum_pos))); + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_tent_exp_q__BRA__13__03a7__KET__ + = (VL_LTS_III(32, 0U, VL_EXTENDS_II(32,7, (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_diff_q_w))) + ? (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__exponent_addend) + : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_prod_q_w)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_rnd_mode_q__BRA__5__03a3__KET__ + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_rnd_mode_q; + } else { + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_spec_stat_q__BRA__9__03a5__KET__ + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_spec_stat_q__BRA__9__03a5__KET__; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_spec_res_q__BRA__31__03a16__KET__ + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_spec_res_q__BRA__31__03a16__KET__; + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_add_shamt_q__BRA__11__03a6__KET__ + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_add_shamt_q__BRA__11__03a6__KET__; + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_diff_q__BRA__13__03a7__KET__ + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_diff_q__BRA__13__03a7__KET__; + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_prod_q__BRA__13__03a7__KET__ + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_prod_q__BRA__13__03a7__KET__; + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__73__03a37__KET__ + = (0x1fffffffffULL & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__73__03a37__KET__); + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_tent_exp_q__BRA__13__03a7__KET__ + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_tent_exp_q__BRA__13__03a7__KET__; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_rnd_mode_q__BRA__5__03a3__KET__ + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_rnd_mode_q__BRA__5__03a3__KET__; + } + if (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__gen_output_pipeline__BRA__0__KET____DOT__reg_ena) { + if (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_res_is_spec_q__BRA__0__KET__) { + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_status_q + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_spec_stat_q__BRA__4__03a0__KET__; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_result_q + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_spec_res_q__BRA__15__03a0__KET__; + } else { + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_status_q + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__regular_status; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_result_q + = ((((((0U == (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__pre_round_abs)) + & (0U == (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__round_sticky_bits))) + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_eff_sub_q__BRA__0__KET__)) + ? (2U == (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_rnd_mode_q__BRA__2__03a0__KET__)) + : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_final_sign_q__BRA__0__KET__)) + << 0xfU) | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__rounded_abs)); + } + } else { + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_status_q + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_status_q; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_result_q + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_result_q; + } + if (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__gen_input_pipeline__BRA__0__KET____DOT__reg_ena) { + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_rnd_mode_q + = vlSelfRef.rnd_mode_i; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_op_q + = vlSelfRef.op_i; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_is_boxed_q + = (7U & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__input_boxed) + >> 6U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_operands_q + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__local_operands; + } else { + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_rnd_mode_q + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_rnd_mode_q; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_op_q + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_op_q; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_is_boxed_q + = (7U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_is_boxed_q)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_operands_q + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_operands_q; + } + if (vlSelfRef.flush_i) { + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__rr_q = 0U; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__rr_q = 0U; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__rr_q = 0U; + vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__rr_q = 0U; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__rr_q = 0U; + } else { + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__rr_q + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__rr_d; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__rr_q + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__rr_d; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__rr_q + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__rr_d; + vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__rr_q + = vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__rr_d; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__rr_q + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__rr_d; + } + } else { + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_spec_res_q__BRA__15__03a0__KET__ = 0U; + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_spec_stat_q__BRA__4__03a0__KET__ = 0U; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_spec_stat_q__BRA__9__03a5__KET__ = 0U; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_spec_res_q__BRA__31__03a16__KET__ = 0U; + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_add_shamt_q__BRA__11__03a6__KET__ = 0U; + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_diff_q__BRA__13__03a7__KET__ = 0U; + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_prod_q__BRA__13__03a7__KET__ = 0U; + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__73__03a37__KET__ = 0ULL; + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_tent_exp_q__BRA__13__03a7__KET__ = 0U; + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_rnd_mode_q__BRA__2__03a0__KET__ = 0U; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_status_q = 0U; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_rnd_mode_q__BRA__5__03a3__KET__ = 0U; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_rnd_mode_q = 0U; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_tent_exp_q__BRA__6__03a0__KET__ = 0U; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_result_q = 0U; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_add_shamt_q__BRA__5__03a0__KET__ = 0U; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_prod_q__BRA__6__03a0__KET__ = 0U; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_diff_q__BRA__6__03a0__KET__ = 0U; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_op_q = 0U; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_is_boxed_q = 0U; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_operands_q = 0ULL; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__36__03a0__KET__ = 0ULL; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__rr_q = 0U; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__rr_q = 0U; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__rr_q = 0U; + vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__rr_q = 0U; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__rr_q = 0U; + } + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_tag_q__BRA__0__KET__ + = ((IData)(vlSelfRef.rst_ni) && ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__gen_inside_pipeline__BRA__1__KET____DOT__reg_ena) + ? (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_tag_q__BRA__1__KET__) + : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_tag_q__BRA__0__KET__))); + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_mask_q__BRA__0__KET__ + = ((IData)(vlSelfRef.rst_ni) && ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__gen_inside_pipeline__BRA__1__KET____DOT__reg_ena) + ? (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_mask_q__BRA__1__KET__) + : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_mask_q__BRA__0__KET__))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_aux_q__BRA__0__KET__ + = ((IData)(vlSelfRef.rst_ni) && ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__gen_inside_pipeline__BRA__1__KET____DOT__reg_ena) + ? (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_aux_q__BRA__1__KET__) + : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_aux_q__BRA__0__KET__))); + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_res_is_spec_q__BRA__1__KET__ + = ((IData)(vlSelfRef.rst_ni) && ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__gen_inside_pipeline__BRA__0__KET____DOT__reg_ena) + ? (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__result_is_special) + : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_res_is_spec_q__BRA__1__KET__))); + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_res_is_spec_q__BRA__0__KET__ + = ((IData)(vlSelfRef.rst_ni) && ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__gen_inside_pipeline__BRA__1__KET____DOT__reg_ena) + ? (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_res_is_spec_q__BRA__1__KET__) + : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_res_is_spec_q__BRA__0__KET__))); + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sticky_q__BRA__1__KET__ + = ((IData)(vlSelfRef.rst_ni) && ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__gen_inside_pipeline__BRA__0__KET____DOT__reg_ena) + ? (0U != + (0x7ffU + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT____VdfgRegularize_h2c6913e2_2_2))) + : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sticky_q__BRA__1__KET__))); + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_eff_sub_q__BRA__1__KET__ + = ((IData)(vlSelfRef.rst_ni) && ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__gen_inside_pipeline__BRA__0__KET____DOT__reg_ena) + ? (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__effective_subtraction) + : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_eff_sub_q__BRA__1__KET__))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_tag_q + = ((IData)(vlSelfRef.rst_ni) && ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__gen_output_pipeline__BRA__0__KET____DOT__reg_ena) + ? (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_tag_q__BRA__0__KET__) + : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_tag_q))); + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_final_sign_q__BRA__0__KET__ + = ((IData)(vlSelfRef.rst_ni) && ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__gen_inside_pipeline__BRA__1__KET____DOT__reg_ena) + ? (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_final_sign_q__BRA__1__KET__) + : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_final_sign_q__BRA__0__KET__))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_mask_q + = ((IData)(vlSelfRef.rst_ni) && ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__gen_output_pipeline__BRA__0__KET____DOT__reg_ena) + ? (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_mask_q__BRA__0__KET__) + : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_mask_q))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_final_sign_q__BRA__1__KET__ + = ((IData)(vlSelfRef.rst_ni) && ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__gen_inside_pipeline__BRA__0__KET____DOT__reg_ena) + ? (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__effective_subtraction) + & ((1U + & (IData)( + (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__sum_pos + >> 0x25U))) + == (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__tentative_sign))) + | ((~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__effective_subtraction)) + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__tentative_sign))) + : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_final_sign_q__BRA__1__KET__))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sticky_q__BRA__0__KET__ + = ((IData)(vlSelfRef.rst_ni) && ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__gen_inside_pipeline__BRA__1__KET____DOT__reg_ena) + ? (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sticky_q__BRA__1__KET__) + : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sticky_q__BRA__0__KET__))); + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_valid_q + = ((IData)(vlSelfRef.rst_ni) && ((~ (IData)(vlSelfRef.flush_i)) + & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_ready__BRA__1__KET__) + ? ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__in_valid) + & (2U + == (IData)(vlSelfRef.dst_fmt_i))) + : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_valid_q)))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_op_mod_q + = ((IData)(vlSelfRef.rst_ni) && ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__gen_input_pipeline__BRA__0__KET____DOT__reg_ena) + ? (IData)(vlSelfRef.op_mod_i) + : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_op_mod_q))); + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_valid_q + = ((2U & (IData)(__Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_valid_q)) + | ((IData)(vlSelfRef.rst_ni) && (1U & ((~ (IData)(vlSelfRef.flush_i)) + & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_ready__BRA__1__KET__) + ? + ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_valid_q) + >> 1U) + : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_valid_q)))))); + __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_valid_q + = ((1U & (IData)(__Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_valid_q)) + | (((IData)(vlSelfRef.rst_ni) && (1U & ( + (~ (IData)(vlSelfRef.flush_i)) + & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_ready__BRA__2__KET__) + ? (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_valid_q) + : + ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_valid_q) + >> 1U))))) + << 1U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_eff_sub_q__BRA__0__KET__ + = ((IData)(vlSelfRef.rst_ni) && ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__gen_inside_pipeline__BRA__1__KET____DOT__reg_ena) + ? (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_eff_sub_q__BRA__1__KET__) + : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_eff_sub_q__BRA__0__KET__))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q + = ((IData)(vlSelfRef.rst_ni) && (1U & ((~ (IData)(vlSelfRef.flush_i)) + & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_ready__BRA__1__KET__) + ? (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_valid_q) + : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q))))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_tag_q + = __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_tag_q; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_mask_q + = __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_mask_q; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_aux_q + = __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_aux_q; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_tag_q__BRA__1__KET__ + = __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_tag_q__BRA__1__KET__; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_mask_q__BRA__1__KET__ + = __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_mask_q__BRA__1__KET__; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_aux_q__BRA__1__KET__ + = __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_aux_q__BRA__1__KET__; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_res_is_spec_q__BRA__1__KET__ + = __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_res_is_spec_q__BRA__1__KET__; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_tag_q__BRA__0__KET__ + = __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_tag_q__BRA__0__KET__; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_mask_q__BRA__0__KET__ + = __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_mask_q__BRA__0__KET__; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_spec_stat_q__BRA__4__03a0__KET__ + = __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_spec_stat_q__BRA__4__03a0__KET__; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sticky_q__BRA__1__KET__ + = __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sticky_q__BRA__1__KET__; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_valid_q + = __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_valid_q; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_tent_exp_q__BRA__13__03a7__KET__ + = __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_tent_exp_q__BRA__13__03a7__KET__; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_spec_res_q__BRA__15__03a0__KET__ + = __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_spec_res_q__BRA__15__03a0__KET__; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_res_is_spec_q__BRA__0__KET__ + = __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_res_is_spec_q__BRA__0__KET__; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_final_sign_q__BRA__0__KET__ + = __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_final_sign_q__BRA__0__KET__; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_rnd_mode_q__BRA__2__03a0__KET__ + = __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_rnd_mode_q__BRA__2__03a0__KET__; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_add_shamt_q__BRA__11__03a6__KET__ + = __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_add_shamt_q__BRA__11__03a6__KET__; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_prod_q__BRA__13__03a7__KET__ + = __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_prod_q__BRA__13__03a7__KET__; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_diff_q__BRA__13__03a7__KET__ + = __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_diff_q__BRA__13__03a7__KET__; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_eff_sub_q__BRA__1__KET__ + = __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_eff_sub_q__BRA__1__KET__; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_valid_q + = __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_valid_q; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__73__03a37__KET__ + = __Vdly__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__73__03a37__KET__; + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT____VdfgExtracted_h3fb90455__0 + = (VL_GTES_III(32, 0U, VL_EXTENDS_II(32,7, (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_diff_q__BRA__6__03a0__KET__))) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_eff_sub_q__BRA__0__KET__) + & VL_GTES_III(32, 2U, VL_EXTENDS_II(32,7, (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_diff_q__BRA__6__03a0__KET__))))); + vlSelfRef.out_valid_o = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q; + vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__2__KET__ + = (1U & (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q) + >> 2U) | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q) + >> 3U))); + vlSelfRef.fpnew_top__DOT____Vcellinp__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__out_ready_i + = ((~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q)) + & (IData)(vlSelfRef.out_ready_i)); + vlSelfRef.busy_o = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_valid_q) + | ((0U != (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_valid_q)) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q))); + vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__ + = (1U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q)); + vlSelfRef.fpnew_top__DOT____Vcellout__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__tag_o + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q) + & ((~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q)) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_tag_q))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__output_processing__DOT__temp_status + = (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q) + ? (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_status_q) + : 0U) & (- (IData)((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_mask_q)))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT____Vcellout__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__status_o + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__output_processing__DOT__temp_status; + if (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q) { + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__slice_result + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_result_q; + if (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q) { + if (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q) { + if (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q) { + vlSelfRef.result_o = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__slice_result; + vlSelfRef.status_o = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT____Vcellout__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__status_o; + } else { + vlSelfRef.result_o = 0xffffU; + vlSelfRef.status_o = 0x1fU; + } + } else { + vlSelfRef.result_o = 0U; + vlSelfRef.status_o = 0U; + } + } else { + vlSelfRef.result_o = 0U; + vlSelfRef.status_o = 0U; + } + vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__data_nodes__BRA__43__03a22__KET__ + = ((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q) + ? ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q) + ? (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__slice_result) + << 5U) | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT____Vcellout__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__status_o)) + : 0x1fffffU) : 0U) << 1U) | (IData)(vlSelfRef.fpnew_top__DOT____Vcellout__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__tag_o)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__data_nodes__BRA__114__03a92__KET__ + = (2U | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__slice_result) + << 7U) | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT____Vcellout__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__status_o) + << 2U) | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_tag_q)))); + vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gnt_nodes__BRA__1__KET__ + = vlSelfRef.out_ready_i; + } else { + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__slice_result = 0xffffU; + vlSelfRef.result_o = 0U; + vlSelfRef.status_o = 0U; + vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__data_nodes__BRA__43__03a22__KET__ = 0U; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__data_nodes__BRA__114__03a92__KET__ = 0x7fffffU; + vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gnt_nodes__BRA__1__KET__ = 0U; + } + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_c + = (0xffffU & (IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_operands_q + >> 0x20U))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_c + = ((0x7fffU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_c)) + | ((IData)((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_c) + >> 0xfU) ^ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_op_mod_q))) + << 0xfU)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_b + = (0xffffU & (IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_operands_q + >> 0x10U))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_a + = (0xffffU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_operands_q)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__value + = (0xffffU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_operands_q)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_boxed + = (1U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_is_boxed_q)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_normal + = (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_boxed) + & (0U != (0x1fU & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__value) + >> 0xaU)))) & (0x1fU + != (0x1fU + & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__value) + >> 0xaU)))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_zero + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_boxed) + & (0U == (0x7fffU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__value)))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_subnormal + = (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_boxed) + & (0U == (0x7c00U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__value)))) + & (~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_zero))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_inf + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_boxed) + & (IData)((0x7c00U == (0x7fffU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__value))))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_nan + = (1U & ((~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_boxed)) + | (IData)(((0x7c00U == (0x7c00U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__value))) + & (0U != (0x3ffU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__value))))))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_signalling + = (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_boxed) + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_nan)) + & (~ ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__value) + >> 9U))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_quiet + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_nan) + & (~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_signalling))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT____Vcellout__i_class_inputs__info_o + = ((0xffff00U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT____Vcellout__i_class_inputs__info_o) + | (((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_normal) + << 7U) | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_subnormal) + << 6U)) | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_zero) + << 5U) | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_inf) + << 4U))) + | ((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_nan) + << 3U) | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_signalling) + << 2U)) | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_quiet) + << 1U) | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_boxed))))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__value + = (0xffffU & (IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_operands_q + >> 0x10U))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_boxed + = (1U & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_is_boxed_q) + >> 1U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_normal + = (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_boxed) + & (0U != (0x1fU & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__value) + >> 0xaU)))) & (0x1fU + != (0x1fU + & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__value) + >> 0xaU)))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_zero + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_boxed) + & (0U == (0x7fffU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__value)))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_subnormal + = (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_boxed) + & (0U == (0x7c00U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__value)))) + & (~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_zero))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_inf + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_boxed) + & (IData)((0x7c00U == (0x7fffU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__value))))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_nan + = (1U & ((~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_boxed)) + | (IData)(((0x7c00U == (0x7c00U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__value))) + & (0U != (0x3ffU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__value))))))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_signalling + = (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_boxed) + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_nan)) + & (~ ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__value) + >> 9U))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_quiet + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_nan) + & (~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_signalling))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT____Vcellout__i_class_inputs__info_o + = ((0xff00ffU & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT____Vcellout__i_class_inputs__info_o) + | (((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_normal) + << 0xfU) | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_subnormal) + << 0xeU)) | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_zero) + << 0xdU) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_inf) + << 0xcU))) + | ((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_nan) + << 0xbU) | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_signalling) + << 0xaU)) | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_quiet) + << 9U) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_boxed) + << 8U))))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__value + = (0xffffU & (IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_operands_q + >> 0x20U))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_boxed + = (1U & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_is_boxed_q) + >> 2U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_normal + = (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_boxed) + & (0U != (0x1fU & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__value) + >> 0xaU)))) & (0x1fU + != (0x1fU + & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__value) + >> 0xaU)))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_zero + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_boxed) + & (0U == (0x7fffU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__value)))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_subnormal + = (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_boxed) + & (0U == (0x7c00U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__value)))) + & (~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_zero))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_inf + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_boxed) + & (IData)((0x7c00U == (0x7fffU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__value))))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_nan + = (1U & ((~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_boxed)) + | (IData)(((0x7c00U == (0x7c00U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__value))) + & (0U != (0x3ffU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__value))))))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_signalling + = (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_boxed) + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_nan)) + & (~ ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__value) + >> 9U))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_quiet + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_nan) + & (~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_signalling))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT____Vcellout__i_class_inputs__info_o + = ((0xffffU & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT____Vcellout__i_class_inputs__info_o) + | (((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_normal) + << 0x17U) | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_subnormal) + << 0x16U)) | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_zero) + << 0x15U) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_inf) + << 0x14U))) + | ((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_nan) + << 0x13U) | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_signalling) + << 0x12U)) | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_quiet) + << 0x11U) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_boxed) + << 0x10U))))); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0 + = (1U & (IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__36__03a0__KET__ + >> 0x18U))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp + = ((0x1fffffeU & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + | (IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0 + = (1U & (IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__36__03a0__KET__ + >> 0x17U))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp + = ((0x1fffffdU & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0) + << 1U)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0 + = (1U & (IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__36__03a0__KET__ + >> 0x16U))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp + = ((0x1fffffbU & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0) + << 2U)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0 + = (1U & (IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__36__03a0__KET__ + >> 0x15U))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp + = ((0x1fffff7U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0) + << 3U)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0 + = (1U & (IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__36__03a0__KET__ + >> 0x14U))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp + = ((0x1ffffefU & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0) + << 4U)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0 + = (1U & (IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__36__03a0__KET__ + >> 0x13U))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp + = ((0x1ffffdfU & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0) + << 5U)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0 + = (1U & (IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__36__03a0__KET__ + >> 0x12U))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp + = ((0x1ffffbfU & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0) + << 6U)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0 + = (1U & (IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__36__03a0__KET__ + >> 0x11U))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp + = ((0x1ffff7fU & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0) + << 7U)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0 + = (1U & (IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__36__03a0__KET__ + >> 0x10U))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp + = ((0x1fffeffU & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0) + << 8U)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0 + = (1U & (IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__36__03a0__KET__ + >> 0xfU))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp + = ((0x1fffdffU & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0) + << 9U)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0 + = (1U & (IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__36__03a0__KET__ + >> 0xeU))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp + = ((0x1fffbffU & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0) + << 0xaU)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0 + = (1U & (IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__36__03a0__KET__ + >> 0xdU))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp + = ((0x1fff7ffU & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0) + << 0xbU)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0 + = (1U & (IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__36__03a0__KET__ + >> 0xcU))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp + = ((0x1ffefffU & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0) + << 0xcU)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0 + = (1U & (IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__36__03a0__KET__ + >> 0xbU))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp + = ((0x1ffdfffU & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0) + << 0xdU)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0 + = (1U & (IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__36__03a0__KET__ + >> 0xaU))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp + = ((0x1ffbfffU & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0) + << 0xeU)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0 + = (1U & (IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__36__03a0__KET__ + >> 9U))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp + = ((0x1ff7fffU & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0) + << 0xfU)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0 + = (1U & (IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__36__03a0__KET__ + >> 8U))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp + = ((0x1feffffU & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0) + << 0x10U)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0 + = (1U & (IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__36__03a0__KET__ + >> 7U))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp + = ((0x1fdffffU & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0) + << 0x11U)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0 + = (1U & (IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__36__03a0__KET__ + >> 6U))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp + = ((0x1fbffffU & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0) + << 0x12U)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0 + = (1U & (IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__36__03a0__KET__ + >> 5U))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp + = ((0x1f7ffffU & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0) + << 0x13U)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0 + = (1U & (IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__36__03a0__KET__ + >> 4U))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp + = ((0x1efffffU & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0) + << 0x14U)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0 + = (1U & (IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__36__03a0__KET__ + >> 3U))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp + = ((0x1dfffffU & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0) + << 0x15U)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0 + = (1U & (IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__36__03a0__KET__ + >> 2U))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp + = ((0x1bfffffU & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0) + << 0x16U)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0 + = (1U & (IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__36__03a0__KET__ + >> 1U))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp + = ((0x17fffffU & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0) + << 0x17U)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0 + = (1U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__36__03a0__KET__)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp + = ((0xffffffU & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0) + << 0x18U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__rr_d + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__rr_q; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__rr_d + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__rr_q; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__rr_d + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__rr_q; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_mask + = (((2U <= (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__rr_q)) + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q)) + << 2U); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__upper_mask + = (((2U > (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__rr_q)) + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q)) + << 2U); + vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_idx + = ((IData)(vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__) + ? ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q) + ? 0U : 1U) : ((4U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q)) + ? 2U : 3U)); + vlSelfRef.tag_o = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q) + & (IData)(vlSelfRef.fpnew_top__DOT____Vcellout__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__tag_o)); + vlSelfRef.fpnew_top__DOT____Vcellinp__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__out_ready_i + = ((~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q)) + & (IData)(vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gnt_nodes__BRA__1__KET__)); + vlSelfRef.fpnew_top__DOT____Vcellinp__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__out_ready_i + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q) + & (IData)(vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gnt_nodes__BRA__1__KET__)); + if ((8U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_op_q))) { + if ((4U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_op_q))) { + if ((2U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_op_q))) { + if ((1U & (~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_op_q)))) { + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_c = 0x8401U; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_b = 0x8401U; + } + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_a + = ((1U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_op_q)) + ? 0x3c00U : 0x8401U); + } else { + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_c = 0x8401U; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_b = 0x8401U; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_a = 0x8401U; + } + } else { + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_c = 0x8401U; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_b = 0x8401U; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_a = 0x8401U; + } + } else if ((4U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_op_q))) { + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_c = 0x8401U; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_b = 0x8401U; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_a = 0x8401U; + } else if ((2U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_op_q))) { + if ((1U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_op_q))) { + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_c + = ((2U == (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_rnd_mode_q)) + ? 0U : 0x8000U); + } + if ((1U & (~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_op_q)))) { + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_a = 0x3c00U; + } + } else if ((1U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_op_q))) { + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_a + = ((0x7fffU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_a)) + | (0x8000U & ((~ ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_a) + >> 0xfU)) << 0xfU))); + } + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__tentative_sign + = (1U & (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_a) + ^ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_b)) + >> 0xfU)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_c + = (0xffU & (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT____Vcellout__i_class_inputs__info_o + >> 0x10U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_b + = (0xffU & (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT____Vcellout__i_class_inputs__info_o + >> 8U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_a + = (0xffU & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT____Vcellout__i_class_inputs__info_o); + if ((8U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_op_q))) { + if ((4U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_op_q))) { + if ((2U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_op_q))) { + if ((1U & (~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_op_q)))) { + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_c = 0xffU; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_b = 0xffU; + } + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_a + = ((1U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_op_q)) + ? 0x81U : 0xffU); + } else { + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_c = 0xffU; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_b = 0xffU; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_a = 0xffU; + } + } else { + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_c = 0xffU; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_b = 0xffU; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_a = 0xffU; + } + } else if ((4U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_op_q))) { + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_c = 0xffU; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_b = 0xffU; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_a = 0xffU; + } else if ((2U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_op_q))) { + if ((1U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_op_q))) { + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_c = 0x21U; + } + if ((1U & (~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_op_q)))) { + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_a = 0x81U; + } + } + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__34__03a30__KET__ + = ((0x1000000U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + ? ((0x1000000U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + ? 0x18U : 0U) : 0U); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__22__KET__ + = (IData)((0U != (0xc000U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__26__KET__ + = (IData)((0U != (0xc00000U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__18__KET__ + = (IData)((0U != (0xc0U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__20__KET__ + = (IData)((0U != (0xc00U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__21__KET__ + = (IData)((0U != (0x3000U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__24__KET__ + = (IData)((0U != (0xc0000U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__25__KET__ + = (IData)((0U != (0x300000U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__16__KET__ + = (IData)((0U != (0xcU & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__17__KET__ + = (IData)((0U != (0x30U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__19__KET__ + = (IData)((0U != (0x300U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__23__KET__ + = (IData)((0U != (0x30000U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__15__KET__ + = (IData)((0U != (3U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp))); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT____Vlvbound_h5a5e22bf__0 + = (1U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_mask)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp + = ((0x1eU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp)) + | (IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT____Vlvbound_h5a5e22bf__0)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT____Vlvbound_h5a5e22bf__0 + = (1U & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_mask) + >> 1U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp + = ((0x1dU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp)) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT____Vlvbound_h5a5e22bf__0) + << 1U)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT____Vlvbound_h5a5e22bf__0 + = (1U & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_mask) + >> 2U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp + = ((0x1bU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp)) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT____Vlvbound_h5a5e22bf__0) + << 2U)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT____Vlvbound_h5a5e22bf__0 + = (1U & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_mask) + >> 3U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp + = ((0x17U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp)) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT____Vlvbound_h5a5e22bf__0) + << 3U)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT____Vlvbound_h5a5e22bf__0 + = (1U & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_mask) + >> 4U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp + = ((0xfU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp)) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT____Vlvbound_h5a5e22bf__0) + << 4U)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT____Vlvbound_h5a5e22bf__0 + = (1U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__upper_mask)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp + = ((0x1eU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp)) + | (IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT____Vlvbound_h5a5e22bf__0)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT____Vlvbound_h5a5e22bf__0 + = (1U & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__upper_mask) + >> 1U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp + = ((0x1dU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp)) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT____Vlvbound_h5a5e22bf__0) + << 1U)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT____Vlvbound_h5a5e22bf__0 + = (1U & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__upper_mask) + >> 2U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp + = ((0x1bU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp)) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT____Vlvbound_h5a5e22bf__0) + << 2U)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT____Vlvbound_h5a5e22bf__0 + = (1U & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__upper_mask) + >> 3U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp + = ((0x17U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp)) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT____Vlvbound_h5a5e22bf__0) + << 3U)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT____Vlvbound_h5a5e22bf__0 + = (1U & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__upper_mask) + >> 4U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp + = ((0xfU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp)) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT____Vlvbound_h5a5e22bf__0) + << 4U)); + vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__rr_d + = ((IData)(vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gnt_nodes__BRA__1__KET__) + ? (IData)(vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_idx) + : (IData)(vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__rr_q)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gnt_nodes__BRA__2__KET__ + = ((~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q)) + & (IData)(vlSelfRef.fpnew_top__DOT____Vcellinp__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__out_ready_i)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gnt_nodes__BRA__1__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q) + & (IData)(vlSelfRef.fpnew_top__DOT____Vcellinp__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__out_ready_i)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__effective_subtraction + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__tentative_sign) + ^ ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_c) + >> 0xfU)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__exponent_addend + = (0x7fU & (VL_EXTENDS_II(7,6, (0x1fU & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_c) + >> 0xaU))) + + VL_EXTENDS_II(7,2, (1U & (~ ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_c) + >> 7U)))))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__product + = (0x3fffffU & (((0x400U & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_a) + << 3U)) | (0x3ffU + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_a))) + * ((0x400U & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_b) + << 3U)) | (0x3ffU + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_b))))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_prod_q_w + = ((0x20U & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_a) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_b))) + ? 0x73U : (0x7fU & ((VL_EXTENDS_II(7,6, + (0x1fU + & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_a) + >> 0xaU))) + + ((1U & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_a) + >> 6U)) + + (VL_EXTENDS_II(7,6, + (0x1fU + & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_b) + >> 0xaU))) + + (1U & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_b) + >> 6U))))) + - (IData)(0xfU)))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__any_operand_nan + = (1U & (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_a) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_b) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_c))) + >> 3U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__any_operand_inf + = (1U & (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_a) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_b) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_c))) + >> 4U)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__54__03a50__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__21__KET__) + ? ((0x1000U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + ? 0xcU : 0xdU) : ((0x4000U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + ? 0xeU : 0xfU)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__10__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__21__KET__) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__22__KET__)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__64__03a60__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__25__KET__) + ? ((0x100000U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + ? 0x14U : 0x15U) : ((0x400000U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + ? 0x16U : 0x17U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__12__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__25__KET__) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__26__KET__)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__44__03a40__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__17__KET__) + ? ((0x10U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + ? 4U : 5U) : ((0x40U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + ? 6U : 7U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__8__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__17__KET__) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__18__KET__)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__49__03a45__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__19__KET__) + ? ((0x100U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + ? 8U : 9U) : ((0x400U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + ? 0xaU : 0xbU)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__9__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__19__KET__) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__20__KET__)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__59__03a55__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__23__KET__) + ? ((0x10000U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + ? 0x10U : 0x11U) : ((0x40000U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + ? 0x12U : 0x13U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__11__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__23__KET__) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__24__KET__)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__39__03a35__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__15__KET__) + ? ((1U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + ? 0U : 1U) : ((4U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + ? 2U : 3U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__7__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__15__KET__) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__16__KET__)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__4__KET__ + = (IData)((0U != (0xcU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp)))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__ + = (IData)((0U != (3U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp)))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__4__KET__ + = (IData)((0U != (0xcU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp)))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__ + = (IData)((0U != (3U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp)))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__out_ready + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q) + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gnt_nodes__BRA__1__KET__)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_diff_q_w + = (0x7fU & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__exponent_addend) + - (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_prod_q_w))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__result_is_special = 0U; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__special_status = 0U; + if ((1U & ((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_a) + >> 4U) & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_b) + >> 5U)) | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_a) + >> 5U) & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_b) + >> 4U))))) { + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__result_is_special = 1U; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__special_status + = (0x10U | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__special_status)); + } else if (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__any_operand_nan) { + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__result_is_special = 1U; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__special_status + = ((0xfU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__special_status)) + | (0x10U & (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_a) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_b) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_c))) + << 2U))); + } else if (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__any_operand_inf) { + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__result_is_special = 1U; + if ((((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_a) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_b)) + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_c)) + >> 4U) & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__effective_subtraction))) { + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__special_status + = (0x10U | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__special_status)); + } + } + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__special_result = 0x7e00U; + if ((1U & (~ ((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_a) + >> 4U) & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_b) + >> 5U)) | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_a) + >> 5U) & + ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_b) + >> 4U)))))) { + if ((1U & (~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__any_operand_nan)))) { + if (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__any_operand_inf) { + if ((1U & (~ (((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_a) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_b)) + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_c)) + >> 4U) & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__effective_subtraction))))) { + if ((0x10U & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_a) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_b)))) { + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__special_result + = (0x7c00U | (0x8000U & + ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_a) + ^ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_b)))); + } else if ((0x10U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_c))) { + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__special_result + = (0x7c00U | (0x8000U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_c))); + } + } + } + } + } + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__24__03a20__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__9__KET__) + ? (IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__49__03a45__KET__) + : (IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__54__03a50__KET__)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__4__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__9__KET__) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__10__KET__)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__29__03a25__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__11__KET__) + ? (IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__59__03a55__KET__) + : (IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__64__03a60__KET__)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__5__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__11__KET__) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__12__KET__)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__19__03a15__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__7__KET__) + ? (IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__39__03a35__KET__) + : (IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__44__03a40__KET__)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__7__KET__) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__8__KET__)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__4__KET__)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__index_nodes__BRA__5__03a3__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__) + ? ((1U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp)) + ? 0U : 1U) : ((4U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp)) + ? 2U : 3U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__index_nodes__BRA__5__03a3__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__) + ? ((1U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp)) + ? 0U : 1U) : ((4U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp)) + ? 2U : 3U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__4__KET__)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_ready__BRA__1__KET__ + = (1U & ((~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q)) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__out_ready))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_add_shamt_q_w + = (VL_GTES_III(32, 0xffffffe9U, VL_EXTENDS_II(32,7, (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_diff_q_w))) + ? 0x25U : (VL_GTES_III(32, 0xdU, VL_EXTENDS_II(32,7, (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_diff_q_w))) + ? (0x3fU & ((IData)(0xeU) - + VL_EXTENDS_II(6,7, (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_diff_q_w)))) + : 0U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__2__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__5__KET__) + | (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp + >> 0x18U)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__14__03a10__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__5__KET__) + ? (IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__29__03a25__KET__) + : (IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__34__03a30__KET__)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__9__03a5__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__) + ? (IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__19__03a15__KET__) + : (IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__24__03a20__KET__)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__4__KET__)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_idx + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__) + ? (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__index_nodes__BRA__5__03a3__KET__) + : ((0x10U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp)) + ? 4U : 0U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__0__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp) + >> 4U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__upper_idx + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__) + ? (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__index_nodes__BRA__5__03a3__KET__) + : ((0x10U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp)) + ? 4U : 0U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__gen_output_pipeline__BRA__0__KET____DOT__reg_ena + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_ready__BRA__1__KET__) + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_ready__BRA__1__KET__ + = (1U & ((~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_valid_q)) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_ready__BRA__1__KET__))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT____VdfgRegularize_h2c6913e2_2_2 + = (0xffffffffffffULL & ((0xffffffffffffULL + & VL_SHIFTL_QQI(48,48,32, (QData)((IData)( + ((0x400U + & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_c) + << 3U)) + | (0x3ffU + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_c))))), 0x25U)) + >> (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_add_shamt_q_w))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__0__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__2__KET__)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__leading_zero_count + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__) + ? (IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__9__03a5__KET__) + : (IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__14__03a10__KET__)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__rr_d + = (((IData)(vlSelfRef.fpnew_top__DOT____Vcellinp__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__out_ready_i) + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q)) + ? ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__0__KET__) + ? (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__upper_idx) + : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_idx)) + : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__rr_q)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__gen_inside_pipeline__BRA__1__KET____DOT__reg_ena + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_ready__BRA__1__KET__) + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_valid_q)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_ready__BRA__2__KET__ + = (1U & ((~ ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_valid_q) + >> 1U)) | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_ready__BRA__1__KET__))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__sum_pos + = (0x3fffffffffULL & ((0x1fffffffffULL & VL_SHIFTL_QQI(37,37,32, (QData)((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__product)), 2U)) + + ((0x1fffffffffULL & + ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__effective_subtraction) + ? (~ (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT____VdfgRegularize_h2c6913e2_2_2 + >> 0xbU)) + : (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT____VdfgRegularize_h2c6913e2_2_2 + >> 0xbU))) + (QData)((IData)( + ((~ (IData)( + (0U + != + (0x7ffU + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT____VdfgRegularize_h2c6913e2_2_2))))) + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__effective_subtraction))))))); + __Vtemp_26[1U] = (((IData)((((QData)((IData)((( + ((0x1000000U + & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + ? 0x18U + : 0U) + << 0xaU) + | (((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__64__03a60__KET__) + << 5U) + | (IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__59__03a55__KET__))))) + << 0x14U) | (QData)((IData)( + ((((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__54__03a50__KET__) + << 0xfU) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__49__03a45__KET__) + << 0xaU)) + | (((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__44__03a40__KET__) + << 5U) + | (IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__39__03a35__KET__))))))) + << 3U) | (IData)(((((QData)((IData)( + (((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__34__03a30__KET__) + << 0xaU) + | (((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__29__03a25__KET__) + << 5U) + | (IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__24__03a20__KET__))))) + << 0x14U) + | (QData)((IData)( + ((((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__19__03a15__KET__) + << 0xfU) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__14__03a10__KET__) + << 0xaU)) + | (((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__9__03a5__KET__) + << 5U) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__leading_zero_count)))))) + >> 0x20U))); + __Vtemp_26[2U] = (((IData)((((QData)((IData)((( + ((0x1000000U + & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + ? 0x18U + : 0U) + << 0xaU) + | (((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__64__03a60__KET__) + << 5U) + | (IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__59__03a55__KET__))))) + << 0x14U) | (QData)((IData)( + ((((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__54__03a50__KET__) + << 0xfU) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__49__03a45__KET__) + << 0xaU)) + | (((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__44__03a40__KET__) + << 5U) + | (IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__39__03a35__KET__))))))) + >> 0x1dU) | ((IData)(((((QData)((IData)( + ((((0x1000000U + & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + ? 0x18U + : 0U) + << 0xaU) + | (((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__64__03a60__KET__) + << 5U) + | (IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__59__03a55__KET__))))) + << 0x14U) + | (QData)((IData)( + ((((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__54__03a50__KET__) + << 0xfU) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__49__03a45__KET__) + << 0xaU)) + | (((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__44__03a40__KET__) + << 5U) + | (IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__39__03a35__KET__)))))) + >> 0x20U)) + << 3U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes[0U] + = (IData)((((QData)((IData)((((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__34__03a30__KET__) + << 0xaU) | (((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__29__03a25__KET__) + << 5U) + | (IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__24__03a20__KET__))))) + << 0x14U) | (QData)((IData)(((((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__19__03a15__KET__) + << 0xfU) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__14__03a10__KET__) + << 0xaU)) + | (((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__9__03a5__KET__) + << 5U) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__leading_zero_count))))))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes[1U] + = __Vtemp_26[1U]; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes[2U] + = ((0xfffff800U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes[2U]) + | __Vtemp_26[2U]); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes[2U] + = ((0xffff07ffU & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes[2U]) + | (((1U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + ? 0U : 1U) << 0xbU)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes[2U] + = ((0xfc00ffffU & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes[2U]) + | (0xffff0000U & ((((0x10U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + ? 4U : 5U) << 0x15U) + | (((4U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + ? 2U : 3U) << 0x10U)))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes[2U] + = ((0x3ffffffU & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes[2U]) + | (0xfc000000U & ((((0x100U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + ? 8U : 9U) << 0x1fU) + | (((0x40U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + ? 6U : 7U) << 0x1aU)))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes[3U] + = ((0xfffffff0U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes[3U]) + | (0x3ffffffU & ((0x3ffffffU & (((0x100U + & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + ? 8U : 9U) + >> 1U)) + | (((0x40U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + ? 6U : 7U) >> 6U)))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes[3U] + = ((0xff00000fU & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes[3U]) + | (0xfffffff0U & (((((0x10000U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + ? 0x10U : 0x11U) << 0x13U) + | (((0x4000U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + ? 0xeU : 0xfU) << 0xeU)) + | ((((0x1000U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + ? 0xcU : 0xdU) << 9U) + | (((0x400U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + ? 0xaU : 0xbU) + << 4U))))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes[3U] + = ((0xffffffU & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes[3U]) + | (0xff000000U & ((((0x100000U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + ? 0x14U : 0x15U) << 0x1dU) + | (((0x40000U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + ? 0x12U : 0x13U) + << 0x18U)))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes[4U] + = ((0xfffffffcU & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes[4U]) + | (0xffffffU & ((0xffffffU & (((0x100000U + & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + ? 0x14U : 0x15U) + >> 3U)) | + (((0x40000U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + ? 0x12U : 0x13U) >> 8U)))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes[4U] + = ((0xf8000003U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes[4U]) + | (0xfffffffcU & (0xc00U | (((0x400000U + & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + ? 0x16U : 0x17U) + << 2U)))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes[4U] + = ((0x7ffffffU & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes[4U]) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__159__03a155__KET__) + << 0x1bU)); + if (fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT____VdfgExtracted_h3fb90455__0) { + if ((VL_LTES_III(32, 0U, ((IData)(1U) + (VL_EXTENDS_II(32,7, (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_prod_q__BRA__6__03a0__KET__)) + - + VL_EXTENDS_II(32,6, (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__leading_zero_count))))) + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__0__KET__))) { + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__normalized_exponent + = (0x7fU & ((IData)(1U) + (VL_EXTENDS_II(7,7, (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_prod_q__BRA__6__03a0__KET__)) + - VL_EXTENDS_II(7,6, (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__leading_zero_count))))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__norm_shamt + = (0x3fU & ((IData)(0xdU) + (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__leading_zero_count))); + } else { + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__normalized_exponent + = (0x7fU & 0U); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__norm_shamt + = (0x3fU & ((IData)(0xdU) + VL_EXTENDS_II(6,7, (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_prod_q__BRA__6__03a0__KET__)))); + } + } else { + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__normalized_exponent + = (0x7fU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_tent_exp_q__BRA__6__03a0__KET__)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__norm_shamt + = (0x3fU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_add_shamt_q__BRA__5__03a0__KET__)); + } + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__gen_inside_pipeline__BRA__0__KET____DOT__reg_ena + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_ready__BRA__2__KET__) + & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_valid_q) + >> 1U)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT____VdfgExtracted_h11a4c16d__0 + = (0x1ffffffU & VL_SHIFTL_III(25,25,6, (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__36__03a0__KET__), (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__norm_shamt))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__sum_shifted + = (0x3fffffffffULL & (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__36__03a0__KET__ + << (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__norm_shamt))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__sum_sticky_bits + = fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT____VdfgExtracted_h11a4c16d__0; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__final_mantissa + = (0xfffU & (IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__sum_shifted + >> 0x19U))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__final_exponent + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__normalized_exponent; + if ((1U & (IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__sum_shifted + >> 0x25U)))) { + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__sum_sticky_bits + = (0x1ffffffU & (IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__sum_shifted + >> 1U))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__final_mantissa + = (0xfffU & (IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__sum_shifted + >> 0x1aU))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__final_exponent + = (0x7fU & ((IData)(1U) + VL_EXTENDS_II(7,7, (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__normalized_exponent)))); + } else if ((1U & (~ (IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__sum_shifted + >> 0x24U))))) { + if (VL_LTS_III(32, 1U, VL_EXTENDS_II(32,7, (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__normalized_exponent)))) { + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__sum_sticky_bits + = (0x1ffffffU & VL_SHIFTL_III(25,25,32, fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT____VdfgExtracted_h11a4c16d__0, 1U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__final_mantissa + = (0xfffU & (IData)((0x1fffULL & (VL_SHIFTL_QQI(38,38,32, vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__sum_shifted, 1U) + >> 0x19U)))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__final_exponent + = (0x7fU & (VL_EXTENDS_II(7,7, (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__normalized_exponent)) + - (IData)(1U))); + } else { + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__final_exponent = 0U; + } + } + if (VL_LTES_III(32, 0x1fU, VL_EXTENDS_II(32,7, (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__final_exponent)))) { + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__round_sticky_bits = 3U; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__pre_round_exponent = 0x1eU; + } else { + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__round_sticky_bits + = ((2U & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__final_mantissa) + << 1U)) | ((0U != vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__sum_sticky_bits) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sticky_q__BRA__0__KET__))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__pre_round_exponent + = (0x1fU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__final_exponent)); + } + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__pre_round_abs + = (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__pre_round_exponent) + << 0xaU) | (VL_LTES_III(32, 0x1fU, VL_EXTENDS_II(32,7, (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__final_exponent))) + ? 0x3ffU : (0x3ffU & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__final_mantissa) + >> 1U)))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__rounded_abs + = (0x7fffU & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__pre_round_abs) + + ((4U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_rnd_mode_q__BRA__2__03a0__KET__)) + ? ((1U & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_rnd_mode_q__BRA__2__03a0__KET__) + >> 1U)) || (1U + & ((1U + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_rnd_mode_q__BRA__2__03a0__KET__)) + ? + ((~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__pre_round_abs)) + & (0U + != (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__round_sticky_bits))) + : + ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__round_sticky_bits) + >> 1U)))) + : ((2U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_rnd_mode_q__BRA__2__03a0__KET__)) + ? ((1U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_rnd_mode_q__BRA__2__03a0__KET__)) + ? ((0U != (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__round_sticky_bits)) + & (~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_final_sign_q__BRA__0__KET__))) + : ((0U != (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__round_sticky_bits)) + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_final_sign_q__BRA__0__KET__))) + : ((1U & (~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_rnd_mode_q__BRA__2__03a0__KET__))) + && ((1U & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__round_sticky_bits) + >> 1U)) + && ((1U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__round_sticky_bits)) + || (1U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__pre_round_abs))))))))); +} + +VL_INLINE_OPT void Vfpnew_top___024root___nba_comb__TOP__0(Vfpnew_top___024root* vlSelf) { + VL_DEBUG_IF(VL_DBG_MSGF("+ Vfpnew_top___024root___nba_comb__TOP__0\n"); ); + Vfpnew_top__Syms* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp; + auto& vlSelfRef = std::ref(*vlSelf).get(); + // Body + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_ready__BRA__1__KET__ + = (1U & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_ready__BRA__1__KET__) + | (~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_valid_q)))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__gen_input_pipeline__BRA__0__KET____DOT__reg_ena + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_ready__BRA__1__KET__) + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_valid_q)); + vlSelfRef.in_ready_o = ((IData)(vlSelfRef.in_valid_i) + & ((((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__in_valid) + & ((4U >= (IData)(vlSelfRef.dst_fmt_i)) + ? 0U : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT____Vxrand_h8df6f50b__0))) + << 3U) | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__in_valid) + & ((4U + >= (IData)(vlSelfRef.dst_fmt_i)) + ? 0U + : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT____Vxrand_h8df6f50b__0))) + << 2U)) + | ((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__in_valid) + & ((4U >= (IData)(vlSelfRef.dst_fmt_i)) + ? 0U : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT____Vxrand_h8df6f50b__0))) + << 1U) | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__in_valid) + & ((4U + >= (IData)(vlSelfRef.dst_fmt_i)) + ? + (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_ready__BRA__1__KET__) + << 2U) + >> (IData)(vlSelfRef.dst_fmt_i)) + : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT____Vxrand_h8df6f50b__0))))) + >> ([&]() { + vlSelfRef.__Vfunc_get_opgroup__0__op + = vlSelfRef.op_i; + { + if ((8U & (IData)(vlSelfRef.__Vfunc_get_opgroup__0__op))) { + if ((4U & (IData)(vlSelfRef.__Vfunc_get_opgroup__0__op))) { + if ((2U & (IData)(vlSelfRef.__Vfunc_get_opgroup__0__op))) { + if ((1U & (IData)(vlSelfRef.__Vfunc_get_opgroup__0__op))) { + vlSelfRef.__Vfunc_get_opgroup__0__Vfuncout = 0U; + goto __Vlabel7; + } else { + vlSelfRef.__Vfunc_get_opgroup__0__Vfuncout = 3U; + goto __Vlabel7; + } + } else { + vlSelfRef.__Vfunc_get_opgroup__0__Vfuncout = 3U; + goto __Vlabel7; + } + } else if ((2U & (IData)(vlSelfRef.__Vfunc_get_opgroup__0__op))) { + vlSelfRef.__Vfunc_get_opgroup__0__Vfuncout = 3U; + goto __Vlabel7; + } else { + vlSelfRef.__Vfunc_get_opgroup__0__Vfuncout = 2U; + goto __Vlabel7; + } + } else if ((4U & (IData)(vlSelfRef.__Vfunc_get_opgroup__0__op))) { + if ((2U & (IData)(vlSelfRef.__Vfunc_get_opgroup__0__op))) { + vlSelfRef.__Vfunc_get_opgroup__0__Vfuncout = 2U; + goto __Vlabel7; + } else { + vlSelfRef.__Vfunc_get_opgroup__0__Vfuncout = 1U; + goto __Vlabel7; + } + } else { + vlSelfRef.__Vfunc_get_opgroup__0__Vfuncout = 0U; + goto __Vlabel7; + } + __Vlabel7: ; + } + }(), (IData)(vlSelfRef.__Vfunc_get_opgroup__0__Vfuncout)))); +} + +void Vfpnew_top___024root___eval_triggers__act(Vfpnew_top___024root* vlSelf); + +bool Vfpnew_top___024root___eval_phase__act(Vfpnew_top___024root* vlSelf) { + VL_DEBUG_IF(VL_DBG_MSGF("+ Vfpnew_top___024root___eval_phase__act\n"); ); + Vfpnew_top__Syms* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp; + auto& vlSelfRef = std::ref(*vlSelf).get(); + // Init + VlTriggerVec<9> __VpreTriggered; + CData/*0:0*/ __VactExecute; + // Body + Vfpnew_top___024root___eval_triggers__act(vlSelf); + __VactExecute = vlSelfRef.__VactTriggered.any(); + if (__VactExecute) { + __VpreTriggered.andNot(vlSelfRef.__VactTriggered, vlSelfRef.__VnbaTriggered); + vlSelfRef.__VnbaTriggered.thisOr(vlSelfRef.__VactTriggered); + Vfpnew_top___024root___eval_act(vlSelf); + } + return (__VactExecute); +} + +bool Vfpnew_top___024root___eval_phase__nba(Vfpnew_top___024root* vlSelf) { + VL_DEBUG_IF(VL_DBG_MSGF("+ Vfpnew_top___024root___eval_phase__nba\n"); ); + Vfpnew_top__Syms* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp; + auto& vlSelfRef = std::ref(*vlSelf).get(); + // Init + CData/*0:0*/ __VnbaExecute; + // Body + __VnbaExecute = vlSelfRef.__VnbaTriggered.any(); + if (__VnbaExecute) { + Vfpnew_top___024root___eval_nba(vlSelf); + vlSelfRef.__VnbaTriggered.clear(); + } + return (__VnbaExecute); +} + +#ifdef VL_DEBUG +VL_ATTR_COLD void Vfpnew_top___024root___dump_triggers__ico(Vfpnew_top___024root* vlSelf); +#endif // VL_DEBUG +#ifdef VL_DEBUG +VL_ATTR_COLD void Vfpnew_top___024root___dump_triggers__nba(Vfpnew_top___024root* vlSelf); +#endif // VL_DEBUG +#ifdef VL_DEBUG +VL_ATTR_COLD void Vfpnew_top___024root___dump_triggers__act(Vfpnew_top___024root* vlSelf); +#endif // VL_DEBUG + +void Vfpnew_top___024root___eval(Vfpnew_top___024root* vlSelf) { + VL_DEBUG_IF(VL_DBG_MSGF("+ Vfpnew_top___024root___eval\n"); ); + Vfpnew_top__Syms* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp; + auto& vlSelfRef = std::ref(*vlSelf).get(); + // Init + IData/*31:0*/ __VicoIterCount; + CData/*0:0*/ __VicoContinue; + IData/*31:0*/ __VnbaIterCount; + CData/*0:0*/ __VnbaContinue; + // Body + __VicoIterCount = 0U; + vlSelfRef.__VicoFirstIteration = 1U; + __VicoContinue = 1U; + while (__VicoContinue) { + if (VL_UNLIKELY(((0x64U < __VicoIterCount)))) { +#ifdef VL_DEBUG + Vfpnew_top___024root___dump_triggers__ico(vlSelf); +#endif + VL_FATAL_MT("src/fpnew_top.sv", 18, "", "Input combinational region did not converge."); + } + __VicoIterCount = ((IData)(1U) + __VicoIterCount); + __VicoContinue = 0U; + if (Vfpnew_top___024root___eval_phase__ico(vlSelf)) { + __VicoContinue = 1U; + } + vlSelfRef.__VicoFirstIteration = 0U; + } + __VnbaIterCount = 0U; + __VnbaContinue = 1U; + while (__VnbaContinue) { + if (VL_UNLIKELY(((0x64U < __VnbaIterCount)))) { +#ifdef VL_DEBUG + Vfpnew_top___024root___dump_triggers__nba(vlSelf); +#endif + VL_FATAL_MT("src/fpnew_top.sv", 18, "", "NBA region did not converge."); + } + __VnbaIterCount = ((IData)(1U) + __VnbaIterCount); + __VnbaContinue = 0U; + vlSelfRef.__VactIterCount = 0U; + vlSelfRef.__VactContinue = 1U; + while (vlSelfRef.__VactContinue) { + if (VL_UNLIKELY(((0x64U < vlSelfRef.__VactIterCount)))) { +#ifdef VL_DEBUG + Vfpnew_top___024root___dump_triggers__act(vlSelf); +#endif + VL_FATAL_MT("src/fpnew_top.sv", 18, "", "Active region did not converge."); + } + vlSelfRef.__VactIterCount = ((IData)(1U) + + vlSelfRef.__VactIterCount); + vlSelfRef.__VactContinue = 0U; + if (Vfpnew_top___024root___eval_phase__act(vlSelf)) { + vlSelfRef.__VactContinue = 1U; + } + } + if (Vfpnew_top___024root___eval_phase__nba(vlSelf)) { + __VnbaContinue = 1U; + } + } +} + +#ifdef VL_DEBUG +void Vfpnew_top___024root___eval_debug_assertions(Vfpnew_top___024root* vlSelf) { + VL_DEBUG_IF(VL_DBG_MSGF("+ Vfpnew_top___024root___eval_debug_assertions\n"); ); + Vfpnew_top__Syms* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp; + auto& vlSelfRef = std::ref(*vlSelf).get(); + // Body + if (VL_UNLIKELY(((vlSelfRef.clk_i & 0xfeU)))) { + Verilated::overWidthError("clk_i");} + if (VL_UNLIKELY(((vlSelfRef.rst_ni & 0xfeU)))) { + Verilated::overWidthError("rst_ni");} + if (VL_UNLIKELY(((vlSelfRef.rnd_mode_i & 0xf8U)))) { + Verilated::overWidthError("rnd_mode_i");} + if (VL_UNLIKELY(((vlSelfRef.op_i & 0xf0U)))) { + Verilated::overWidthError("op_i");} + if (VL_UNLIKELY(((vlSelfRef.op_mod_i & 0xfeU)))) { + Verilated::overWidthError("op_mod_i");} + if (VL_UNLIKELY(((vlSelfRef.src_fmt_i & 0xf8U)))) { + Verilated::overWidthError("src_fmt_i");} + if (VL_UNLIKELY(((vlSelfRef.dst_fmt_i & 0xf8U)))) { + Verilated::overWidthError("dst_fmt_i");} + if (VL_UNLIKELY(((vlSelfRef.int_fmt_i & 0xfcU)))) { + Verilated::overWidthError("int_fmt_i");} + if (VL_UNLIKELY(((vlSelfRef.vectorial_op_i & 0xfeU)))) { + Verilated::overWidthError("vectorial_op_i");} + if (VL_UNLIKELY(((vlSelfRef.tag_i & 0xfeU)))) { + Verilated::overWidthError("tag_i");} + if (VL_UNLIKELY(((vlSelfRef.simd_mask_i & 0xfeU)))) { + Verilated::overWidthError("simd_mask_i");} + if (VL_UNLIKELY(((vlSelfRef.in_valid_i & 0xfeU)))) { + Verilated::overWidthError("in_valid_i");} + if (VL_UNLIKELY(((vlSelfRef.flush_i & 0xfeU)))) { + Verilated::overWidthError("flush_i");} + if (VL_UNLIKELY(((vlSelfRef.out_ready_i & 0xfeU)))) { + Verilated::overWidthError("out_ready_i");} +} +#endif // VL_DEBUG diff --git a/obj_dir/Vfpnew_top___024root__DepSet_h067b37ca__0__Slow.cpp b/obj_dir/Vfpnew_top___024root__DepSet_h067b37ca__0__Slow.cpp new file mode 100644 index 0000000..ddb45bb --- /dev/null +++ b/obj_dir/Vfpnew_top___024root__DepSet_h067b37ca__0__Slow.cpp @@ -0,0 +1,2365 @@ +// Verilated -*- C++ -*- +// DESCRIPTION: Verilator output: Design implementation internals +// See Vfpnew_top.h for the primary calling header + +#include "Vfpnew_top__pch.h" +#include "Vfpnew_top___024root.h" + +VL_ATTR_COLD void Vfpnew_top___024root___eval_static(Vfpnew_top___024root* vlSelf) { + VL_DEBUG_IF(VL_DBG_MSGF("+ Vfpnew_top___024root___eval_static\n"); ); + Vfpnew_top__Syms* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp; + auto& vlSelfRef = std::ref(*vlSelf).get(); + // Body + vlSelfRef.__Vtrigprevexpr___TOP__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_ready__BRA__1__KET____0 + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_ready__BRA__1__KET__; + vlSelfRef.__Vtrigprevexpr___TOP__fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes__0 + = vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes; + vlSelfRef.__Vtrigprevexpr___TOP__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes__0 + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes; + vlSelfRef.__Vtrigprevexpr___TOP__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__regular_status__0 + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__regular_status; + vlSelfRef.__Vtrigprevexpr___TOP__fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes__0 + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes; + vlSelfRef.__Vtrigprevexpr___TOP__fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes__0 + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes; + vlSelfRef.__Vtrigprevexpr___TOP__fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes__0 + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes; + vlSelfRef.__Vtrigprevexpr___TOP__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_ready__BRA__1__KET____1 + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_ready__BRA__1__KET__; + vlSelfRef.__Vtrigprevexpr___TOP__fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes__1 + = vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes; + vlSelfRef.__Vtrigprevexpr___TOP__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes__1 + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes; + vlSelfRef.__Vtrigprevexpr___TOP__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__regular_status__1 + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__regular_status; + vlSelfRef.__Vtrigprevexpr___TOP__fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes__1 + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes; + vlSelfRef.__Vtrigprevexpr___TOP__fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes__1 + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes; + vlSelfRef.__Vtrigprevexpr___TOP__fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes__1 + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes; + vlSelfRef.__Vtrigprevexpr___TOP__clk_i__0 = vlSelfRef.clk_i; + vlSelfRef.__Vtrigprevexpr___TOP__rst_ni__0 = vlSelfRef.rst_ni; +} + +VL_ATTR_COLD void Vfpnew_top___024root___eval_initial__TOP(Vfpnew_top___024root* vlSelf); +VL_ATTR_COLD void Vfpnew_top___024root____Vm_traceActivitySetAll(Vfpnew_top___024root* vlSelf); + +VL_ATTR_COLD void Vfpnew_top___024root___eval_initial(Vfpnew_top___024root* vlSelf) { + VL_DEBUG_IF(VL_DBG_MSGF("+ Vfpnew_top___024root___eval_initial\n"); ); + Vfpnew_top__Syms* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp; + auto& vlSelfRef = std::ref(*vlSelf).get(); + // Body + Vfpnew_top___024root___eval_initial__TOP(vlSelf); + Vfpnew_top___024root____Vm_traceActivitySetAll(vlSelf); +} + +VL_ATTR_COLD void Vfpnew_top___024root___eval_initial__TOP(Vfpnew_top___024root* vlSelf) { + VL_DEBUG_IF(VL_DBG_MSGF("+ Vfpnew_top___024root___eval_initial__TOP\n"); ); + Vfpnew_top__Syms* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp; + auto& vlSelfRef = std::ref(*vlSelf).get(); + // Body + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp = 0U; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp = 0U; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp = 0U; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp = 0U; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp = 0U; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp = 0U; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT____Vxrand_h8df6f50b__0 + = (1U & VL_RAND_RESET_ASSIGN_I(1)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT____Vxrand_h8df6f50b__0 + = (1U & VL_RAND_RESET_ASSIGN_I(1)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT____Vxrand_h8df6f50b__0 + = (1U & VL_RAND_RESET_ASSIGN_I(1)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT____Vxrand_h8df6f50b__0 + = (1U & VL_RAND_RESET_ASSIGN_I(1)); +} + +VL_ATTR_COLD void Vfpnew_top___024root___eval_final(Vfpnew_top___024root* vlSelf) { + VL_DEBUG_IF(VL_DBG_MSGF("+ Vfpnew_top___024root___eval_final\n"); ); + Vfpnew_top__Syms* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp; + auto& vlSelfRef = std::ref(*vlSelf).get(); +} + +#ifdef VL_DEBUG +VL_ATTR_COLD void Vfpnew_top___024root___dump_triggers__stl(Vfpnew_top___024root* vlSelf); +#endif // VL_DEBUG +VL_ATTR_COLD bool Vfpnew_top___024root___eval_phase__stl(Vfpnew_top___024root* vlSelf); + +VL_ATTR_COLD void Vfpnew_top___024root___eval_settle(Vfpnew_top___024root* vlSelf) { + VL_DEBUG_IF(VL_DBG_MSGF("+ Vfpnew_top___024root___eval_settle\n"); ); + Vfpnew_top__Syms* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp; + auto& vlSelfRef = std::ref(*vlSelf).get(); + // Init + IData/*31:0*/ __VstlIterCount; + CData/*0:0*/ __VstlContinue; + // Body + __VstlIterCount = 0U; + vlSelfRef.__VstlFirstIteration = 1U; + __VstlContinue = 1U; + while (__VstlContinue) { + if (VL_UNLIKELY(((0x64U < __VstlIterCount)))) { +#ifdef VL_DEBUG + Vfpnew_top___024root___dump_triggers__stl(vlSelf); +#endif + VL_FATAL_MT("src/fpnew_top.sv", 18, "", "Settle region did not converge."); + } + __VstlIterCount = ((IData)(1U) + __VstlIterCount); + __VstlContinue = 0U; + if (Vfpnew_top___024root___eval_phase__stl(vlSelf)) { + __VstlContinue = 1U; + } + vlSelfRef.__VstlFirstIteration = 0U; + } +} + +#ifdef VL_DEBUG +VL_ATTR_COLD void Vfpnew_top___024root___dump_triggers__stl(Vfpnew_top___024root* vlSelf) { + VL_DEBUG_IF(VL_DBG_MSGF("+ Vfpnew_top___024root___dump_triggers__stl\n"); ); + Vfpnew_top__Syms* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp; + auto& vlSelfRef = std::ref(*vlSelf).get(); + // Body + if ((1U & (~ vlSelfRef.__VstlTriggered.any()))) { + VL_DBG_MSGF(" No triggers active\n"); + } + if ((1ULL & vlSelfRef.__VstlTriggered.word(0U))) { + VL_DBG_MSGF(" 'stl' region trigger index 0 is active: Internal 'stl' trigger - first iteration\n"); + } + if ((2ULL & vlSelfRef.__VstlTriggered.word(0U))) { + VL_DBG_MSGF(" 'stl' region trigger index 1 is active: @([hybrid] fpnew_top.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.lane_instance.i_fma.inp_pipe_ready[1])\n"); + } + if ((4ULL & vlSelfRef.__VstlTriggered.word(0U))) { + VL_DBG_MSGF(" 'stl' region trigger index 2 is active: @([hybrid] fpnew_top.i_arbiter.gen_arbiter.index_nodes)\n"); + } + if ((8ULL & vlSelfRef.__VstlTriggered.word(0U))) { + VL_DBG_MSGF(" 'stl' region trigger index 3 is active: @([hybrid] fpnew_top.gen_operation_groups[0].i_opgroup_block.i_arbiter.gen_arbiter.index_nodes)\n"); + } + if ((0x10ULL & vlSelfRef.__VstlTriggered.word(0U))) { + VL_DBG_MSGF(" 'stl' region trigger index 4 is active: @([hybrid] fpnew_top.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.lane_instance.i_fma.regular_status)\n"); + } + if ((0x20ULL & vlSelfRef.__VstlTriggered.word(0U))) { + VL_DBG_MSGF(" 'stl' region trigger index 5 is active: @([hybrid] fpnew_top.gen_operation_groups[1].i_opgroup_block.i_arbiter.gen_arbiter.index_nodes)\n"); + } + if ((0x40ULL & vlSelfRef.__VstlTriggered.word(0U))) { + VL_DBG_MSGF(" 'stl' region trigger index 6 is active: @([hybrid] fpnew_top.gen_operation_groups[2].i_opgroup_block.i_arbiter.gen_arbiter.index_nodes)\n"); + } + if ((0x80ULL & vlSelfRef.__VstlTriggered.word(0U))) { + VL_DBG_MSGF(" 'stl' region trigger index 7 is active: @([hybrid] fpnew_top.gen_operation_groups[3].i_opgroup_block.i_arbiter.gen_arbiter.index_nodes)\n"); + } +} +#endif // VL_DEBUG + +void Vfpnew_top___024root___act_sequent__TOP__0(Vfpnew_top___024root* vlSelf); +void Vfpnew_top___024root___act_sequent__TOP__1(Vfpnew_top___024root* vlSelf); +void Vfpnew_top___024root___act_sequent__TOP__2(Vfpnew_top___024root* vlSelf); +VL_ATTR_COLD void Vfpnew_top___024root___stl_sequent__TOP__3(Vfpnew_top___024root* vlSelf); +void Vfpnew_top___024root___act_sequent__TOP__3(Vfpnew_top___024root* vlSelf); +void Vfpnew_top___024root___act_sequent__TOP__4(Vfpnew_top___024root* vlSelf); +VL_ATTR_COLD void Vfpnew_top___024root___stl_comb__TOP__2(Vfpnew_top___024root* vlSelf); +void Vfpnew_top___024root___act_sequent__TOP__6(Vfpnew_top___024root* vlSelf); + +VL_ATTR_COLD void Vfpnew_top___024root___eval_stl(Vfpnew_top___024root* vlSelf) { + VL_DEBUG_IF(VL_DBG_MSGF("+ Vfpnew_top___024root___eval_stl\n"); ); + Vfpnew_top__Syms* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp; + auto& vlSelfRef = std::ref(*vlSelf).get(); + // Body + if ((0x20ULL & vlSelfRef.__VstlTriggered.word(0U))) { + Vfpnew_top___024root___act_sequent__TOP__0(vlSelf); + } + if ((0x40ULL & vlSelfRef.__VstlTriggered.word(0U))) { + Vfpnew_top___024root___act_sequent__TOP__1(vlSelf); + } + if ((0x80ULL & vlSelfRef.__VstlTriggered.word(0U))) { + Vfpnew_top___024root___act_sequent__TOP__2(vlSelf); + } + if ((1ULL & vlSelfRef.__VstlTriggered.word(0U))) { + Vfpnew_top___024root___stl_sequent__TOP__3(vlSelf); + Vfpnew_top___024root____Vm_traceActivitySetAll(vlSelf); + } + if ((5ULL & vlSelfRef.__VstlTriggered.word(0U))) { + Vfpnew_top___024root___act_sequent__TOP__3(vlSelf); + } + if ((9ULL & vlSelfRef.__VstlTriggered.word(0U))) { + Vfpnew_top___024root___act_sequent__TOP__4(vlSelf); + } + if ((3ULL & vlSelfRef.__VstlTriggered.word(0U))) { + Vfpnew_top___024root___stl_comb__TOP__2(vlSelf); + } + if ((0x11ULL & vlSelfRef.__VstlTriggered.word(0U))) { + Vfpnew_top___024root___act_sequent__TOP__6(vlSelf); + } +} + +VL_ATTR_COLD void Vfpnew_top___024root___stl_sequent__TOP__3(Vfpnew_top___024root* vlSelf) { + VL_DEBUG_IF(VL_DBG_MSGF("+ Vfpnew_top___024root___stl_sequent__TOP__3\n"); ); + Vfpnew_top__Syms* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp; + auto& vlSelfRef = std::ref(*vlSelf).get(); + // Init + CData/*2:0*/ fpnew_top__DOT____Vlvbound_h3d815ed0__0; + fpnew_top__DOT____Vlvbound_h3d815ed0__0 = 0; + CData/*1:0*/ fpnew_top__DOT____Vlvbound_h3fc30c31__0; + fpnew_top__DOT____Vlvbound_h3fc30c31__0 = 0; + CData/*1:0*/ fpnew_top__DOT____Vlvbound_hb59f76d3__0; + fpnew_top__DOT____Vlvbound_hb59f76d3__0 = 0; + CData/*2:0*/ fpnew_top__DOT____Vlvbound_hbef70b91__0; + fpnew_top__DOT____Vlvbound_hbef70b91__0 = 0; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT____Vlvbound_h5a5e22bf__0; + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT____Vlvbound_h5a5e22bf__0 = 0; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT____Vlvbound_h5a5e22bf__0; + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT____Vlvbound_h5a5e22bf__0 = 0; + SData/*15:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT____Vlvbound_h2b3f47c3__0; + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT____Vlvbound_h2b3f47c3__0 = 0; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT____VdfgExtracted_h3fb90455__0; + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT____VdfgExtracted_h3fb90455__0 = 0; + IData/*24:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT____VdfgExtracted_h11a4c16d__0; + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT____VdfgExtracted_h11a4c16d__0 = 0; + CData/*4:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__64__03a60__KET__; + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__64__03a60__KET__ = 0; + CData/*4:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__59__03a55__KET__; + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__59__03a55__KET__ = 0; + CData/*4:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__54__03a50__KET__; + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__54__03a50__KET__ = 0; + CData/*4:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__49__03a45__KET__; + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__49__03a45__KET__ = 0; + CData/*4:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__44__03a40__KET__; + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__44__03a40__KET__ = 0; + CData/*4:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__39__03a35__KET__; + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__39__03a35__KET__ = 0; + CData/*4:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__34__03a30__KET__; + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__34__03a30__KET__ = 0; + CData/*4:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__29__03a25__KET__; + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__29__03a25__KET__ = 0; + CData/*4:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__24__03a20__KET__; + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__24__03a20__KET__ = 0; + CData/*4:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__19__03a15__KET__; + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__19__03a15__KET__ = 0; + CData/*4:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__14__03a10__KET__; + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__14__03a10__KET__ = 0; + CData/*4:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__9__03a5__KET__; + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__9__03a5__KET__ = 0; + CData/*0:0*/ fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0; + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0 = 0; + VlWide<3>/*95:0*/ __Vtemp_3; + // Body + vlSelfRef.out_valid_o = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q; + vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__2__KET__ + = (1U & (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q) + >> 2U) | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q) + >> 3U))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__rr_d + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__rr_q; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__rr_d + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__rr_q; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__rr_d + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__rr_q; + vlSelfRef.fpnew_top__DOT____Vcellinp__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__out_ready_i + = ((~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q)) + & (IData)(vlSelfRef.out_ready_i)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT____Vlvbound_h2b3f47c3__0 + = (0xffffU & (IData)(vlSelfRef.operands_i)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__local_operands + = ((0xffffffff0000ULL & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__local_operands) + | (IData)((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT____Vlvbound_h2b3f47c3__0))); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT____Vlvbound_h2b3f47c3__0 + = (0xffffU & (IData)((vlSelfRef.operands_i + >> 0x10U))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__local_operands + = ((0xffff0000ffffULL & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__local_operands) + | ((QData)((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT____Vlvbound_h2b3f47c3__0)) + << 0x10U)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT____Vlvbound_h2b3f47c3__0 + = (0xffffU & (IData)((vlSelfRef.operands_i + >> 0x20U))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__local_operands + = ((0xffffffffULL & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__local_operands) + | ((QData)((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT____Vlvbound_h2b3f47c3__0)) + << 0x20U)); + vlSelfRef.busy_o = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_valid_q) + | ((0U != (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_valid_q)) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q))); + vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__ + = (1U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__4__KET__ + = (IData)((0U != (0xcU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp)))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__4__KET__ + = (IData)((0U != (0xcU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp)))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__4__KET__ + = (IData)((0U != (0xcU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp)))); + vlSelfRef.fpnew_top__DOT____Vcellout__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__tag_o + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q) + & ((~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q)) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_tag_q))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__4__KET__ + = (IData)((0U != (0xcU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp)))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__4__KET__ + = (IData)((0U != (0xcU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp)))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__4__KET__ + = (IData)((0U != (0xcU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp)))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__ + = (IData)((0U != (3U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp)))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__ + = (IData)((0U != (3U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp)))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__ + = (IData)((0U != (3U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp)))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__output_processing__DOT__temp_status + = (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q) + ? (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_status_q) + : 0U) & (- (IData)((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_mask_q)))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT____Vcellout__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__status_o + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__output_processing__DOT__temp_status; + vlSelfRef.fpnew_top__DOT__is_boxed = (0x71ffU | + ((((IData)(vlSelfRef.vectorial_op_i) + | (0xffU + == + (0xffU + & (IData)( + (vlSelfRef.operands_i + >> 0x28U))))) + << 0xbU) + | ((((IData)(vlSelfRef.vectorial_op_i) + | (0xffU + == + (0xffU + & (IData)( + (vlSelfRef.operands_i + >> 0x18U))))) + << 0xaU) + | (((IData)(vlSelfRef.vectorial_op_i) + | (0xffU + == + (0xffU + & (IData)( + (vlSelfRef.operands_i + >> 8U))))) + << 9U)))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__ + = (IData)((0U != (3U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp)))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__ + = (IData)((0U != (3U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp)))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__ + = (IData)((0U != (3U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp)))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__slice_result + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q) + ? (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_result_q) + : 0xffffU); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__in_valid + = ((IData)(vlSelfRef.in_valid_i) & (0U == ([&]() { + vlSelfRef.__Vfunc_get_opgroup__1__op + = vlSelfRef.op_i; + { + if ((8U & (IData)(vlSelfRef.__Vfunc_get_opgroup__1__op))) { + if ((4U & (IData)(vlSelfRef.__Vfunc_get_opgroup__1__op))) { + if ((2U & (IData)(vlSelfRef.__Vfunc_get_opgroup__1__op))) { + if ((1U & (IData)(vlSelfRef.__Vfunc_get_opgroup__1__op))) { + vlSelfRef.__Vfunc_get_opgroup__1__Vfuncout = 0U; + goto __Vlabel1; + } else { + vlSelfRef.__Vfunc_get_opgroup__1__Vfuncout = 3U; + goto __Vlabel1; + } + } else { + vlSelfRef.__Vfunc_get_opgroup__1__Vfuncout = 3U; + goto __Vlabel1; + } + } else if ((2U & (IData)(vlSelfRef.__Vfunc_get_opgroup__1__op))) { + vlSelfRef.__Vfunc_get_opgroup__1__Vfuncout = 3U; + goto __Vlabel1; + } else { + vlSelfRef.__Vfunc_get_opgroup__1__Vfuncout = 2U; + goto __Vlabel1; + } + } else if ((4U & (IData)(vlSelfRef.__Vfunc_get_opgroup__1__op))) { + if ((2U & (IData)(vlSelfRef.__Vfunc_get_opgroup__1__op))) { + vlSelfRef.__Vfunc_get_opgroup__1__Vfuncout = 2U; + goto __Vlabel1; + } else { + vlSelfRef.__Vfunc_get_opgroup__1__Vfuncout = 1U; + goto __Vlabel1; + } + } else { + vlSelfRef.__Vfunc_get_opgroup__1__Vfuncout = 0U; + goto __Vlabel1; + } + __Vlabel1: ; + } + }(), (IData)(vlSelfRef.__Vfunc_get_opgroup__1__Vfuncout)))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__in_valid + = ((IData)(vlSelfRef.in_valid_i) & (1U == ([&]() { + vlSelfRef.__Vfunc_get_opgroup__2__op + = vlSelfRef.op_i; + { + if ((8U & (IData)(vlSelfRef.__Vfunc_get_opgroup__2__op))) { + if ((4U & (IData)(vlSelfRef.__Vfunc_get_opgroup__2__op))) { + if ((2U & (IData)(vlSelfRef.__Vfunc_get_opgroup__2__op))) { + if ((1U & (IData)(vlSelfRef.__Vfunc_get_opgroup__2__op))) { + vlSelfRef.__Vfunc_get_opgroup__2__Vfuncout = 0U; + goto __Vlabel2; + } else { + vlSelfRef.__Vfunc_get_opgroup__2__Vfuncout = 3U; + goto __Vlabel2; + } + } else { + vlSelfRef.__Vfunc_get_opgroup__2__Vfuncout = 3U; + goto __Vlabel2; + } + } else if ((2U & (IData)(vlSelfRef.__Vfunc_get_opgroup__2__op))) { + vlSelfRef.__Vfunc_get_opgroup__2__Vfuncout = 3U; + goto __Vlabel2; + } else { + vlSelfRef.__Vfunc_get_opgroup__2__Vfuncout = 2U; + goto __Vlabel2; + } + } else if ((4U & (IData)(vlSelfRef.__Vfunc_get_opgroup__2__op))) { + if ((2U & (IData)(vlSelfRef.__Vfunc_get_opgroup__2__op))) { + vlSelfRef.__Vfunc_get_opgroup__2__Vfuncout = 2U; + goto __Vlabel2; + } else { + vlSelfRef.__Vfunc_get_opgroup__2__Vfuncout = 1U; + goto __Vlabel2; + } + } else { + vlSelfRef.__Vfunc_get_opgroup__2__Vfuncout = 0U; + goto __Vlabel2; + } + __Vlabel2: ; + } + }(), (IData)(vlSelfRef.__Vfunc_get_opgroup__2__Vfuncout)))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__in_valid + = ((IData)(vlSelfRef.in_valid_i) & (2U == ([&]() { + vlSelfRef.__Vfunc_get_opgroup__3__op + = vlSelfRef.op_i; + { + if ((8U & (IData)(vlSelfRef.__Vfunc_get_opgroup__3__op))) { + if ((4U & (IData)(vlSelfRef.__Vfunc_get_opgroup__3__op))) { + if ((2U & (IData)(vlSelfRef.__Vfunc_get_opgroup__3__op))) { + if ((1U & (IData)(vlSelfRef.__Vfunc_get_opgroup__3__op))) { + vlSelfRef.__Vfunc_get_opgroup__3__Vfuncout = 0U; + goto __Vlabel3; + } else { + vlSelfRef.__Vfunc_get_opgroup__3__Vfuncout = 3U; + goto __Vlabel3; + } + } else { + vlSelfRef.__Vfunc_get_opgroup__3__Vfuncout = 3U; + goto __Vlabel3; + } + } else if ((2U & (IData)(vlSelfRef.__Vfunc_get_opgroup__3__op))) { + vlSelfRef.__Vfunc_get_opgroup__3__Vfuncout = 3U; + goto __Vlabel3; + } else { + vlSelfRef.__Vfunc_get_opgroup__3__Vfuncout = 2U; + goto __Vlabel3; + } + } else if ((4U & (IData)(vlSelfRef.__Vfunc_get_opgroup__3__op))) { + if ((2U & (IData)(vlSelfRef.__Vfunc_get_opgroup__3__op))) { + vlSelfRef.__Vfunc_get_opgroup__3__Vfuncout = 2U; + goto __Vlabel3; + } else { + vlSelfRef.__Vfunc_get_opgroup__3__Vfuncout = 1U; + goto __Vlabel3; + } + } else { + vlSelfRef.__Vfunc_get_opgroup__3__Vfuncout = 0U; + goto __Vlabel3; + } + __Vlabel3: ; + } + }(), (IData)(vlSelfRef.__Vfunc_get_opgroup__3__Vfuncout)))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__in_valid + = ((IData)(vlSelfRef.in_valid_i) & (3U == ([&]() { + vlSelfRef.__Vfunc_get_opgroup__4__op + = vlSelfRef.op_i; + { + if ((8U & (IData)(vlSelfRef.__Vfunc_get_opgroup__4__op))) { + if ((4U & (IData)(vlSelfRef.__Vfunc_get_opgroup__4__op))) { + if ((2U & (IData)(vlSelfRef.__Vfunc_get_opgroup__4__op))) { + if ((1U & (IData)(vlSelfRef.__Vfunc_get_opgroup__4__op))) { + vlSelfRef.__Vfunc_get_opgroup__4__Vfuncout = 0U; + goto __Vlabel4; + } else { + vlSelfRef.__Vfunc_get_opgroup__4__Vfuncout = 3U; + goto __Vlabel4; + } + } else { + vlSelfRef.__Vfunc_get_opgroup__4__Vfuncout = 3U; + goto __Vlabel4; + } + } else if ((2U & (IData)(vlSelfRef.__Vfunc_get_opgroup__4__op))) { + vlSelfRef.__Vfunc_get_opgroup__4__Vfuncout = 3U; + goto __Vlabel4; + } else { + vlSelfRef.__Vfunc_get_opgroup__4__Vfuncout = 2U; + goto __Vlabel4; + } + } else if ((4U & (IData)(vlSelfRef.__Vfunc_get_opgroup__4__op))) { + if ((2U & (IData)(vlSelfRef.__Vfunc_get_opgroup__4__op))) { + vlSelfRef.__Vfunc_get_opgroup__4__Vfuncout = 2U; + goto __Vlabel4; + } else { + vlSelfRef.__Vfunc_get_opgroup__4__Vfuncout = 1U; + goto __Vlabel4; + } + } else { + vlSelfRef.__Vfunc_get_opgroup__4__Vfuncout = 0U; + goto __Vlabel4; + } + __Vlabel4: ; + } + }(), (IData)(vlSelfRef.__Vfunc_get_opgroup__4__Vfuncout)))); + if (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q) { + if (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q) { + if (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q) { + if (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q) { + vlSelfRef.result_o = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__slice_result; + vlSelfRef.status_o = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT____Vcellout__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__status_o; + } else { + vlSelfRef.result_o = 0xffffU; + vlSelfRef.status_o = 0x1fU; + } + } else { + vlSelfRef.result_o = 0U; + vlSelfRef.status_o = 0U; + } + } else { + vlSelfRef.result_o = 0U; + vlSelfRef.status_o = 0U; + } + vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__data_nodes__BRA__43__03a22__KET__ + = ((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q) + ? ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q) + ? (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__slice_result) + << 5U) | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT____Vcellout__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__status_o)) + : 0x1fffffU) : 0U) << 1U) | (IData)(vlSelfRef.fpnew_top__DOT____Vcellout__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__tag_o)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__data_nodes__BRA__114__03a92__KET__ + = (2U | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__slice_result) + << 7U) | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT____Vcellout__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__status_o) + << 2U) | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_tag_q)))); + vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gnt_nodes__BRA__1__KET__ + = vlSelfRef.out_ready_i; + } else { + vlSelfRef.result_o = 0U; + vlSelfRef.status_o = 0U; + vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__data_nodes__BRA__43__03a22__KET__ = 0U; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__data_nodes__BRA__114__03a92__KET__ = 0x7fffffU; + vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gnt_nodes__BRA__1__KET__ = 0U; + } + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_c + = (0xffffU & (IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_operands_q + >> 0x20U))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_c + = ((0x7fffU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_c)) + | ((IData)((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_c) + >> 0xfU) ^ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_op_mod_q))) + << 0xfU)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_b + = (0xffffU & (IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_operands_q + >> 0x10U))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_a + = (0xffffU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_operands_q)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_mask + = (((2U <= (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__rr_q)) + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q)) + << 2U); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__upper_mask + = (((2U > (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__rr_q)) + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q)) + << 2U); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__value + = (0xffffU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_operands_q)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_boxed + = (1U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_is_boxed_q)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_normal + = (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_boxed) + & (0U != (0x1fU & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__value) + >> 0xaU)))) & (0x1fU + != (0x1fU + & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__value) + >> 0xaU)))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_zero + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_boxed) + & (0U == (0x7fffU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__value)))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_subnormal + = (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_boxed) + & (0U == (0x7c00U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__value)))) + & (~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_zero))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_inf + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_boxed) + & (IData)((0x7c00U == (0x7fffU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__value))))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_nan + = (1U & ((~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_boxed)) + | (IData)(((0x7c00U == (0x7c00U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__value))) + & (0U != (0x3ffU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__value))))))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_signalling + = (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_boxed) + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_nan)) + & (~ ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__value) + >> 9U))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_quiet + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_nan) + & (~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_signalling))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT____Vcellout__i_class_inputs__info_o + = ((0xffff00U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT____Vcellout__i_class_inputs__info_o) + | (((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_normal) + << 7U) | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_subnormal) + << 6U)) | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_zero) + << 5U) | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_inf) + << 4U))) + | ((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_nan) + << 3U) | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_signalling) + << 2U)) | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_quiet) + << 1U) | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_boxed))))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__value + = (0xffffU & (IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_operands_q + >> 0x10U))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_boxed + = (1U & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_is_boxed_q) + >> 1U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_normal + = (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_boxed) + & (0U != (0x1fU & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__value) + >> 0xaU)))) & (0x1fU + != (0x1fU + & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__value) + >> 0xaU)))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_zero + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_boxed) + & (0U == (0x7fffU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__value)))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_subnormal + = (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_boxed) + & (0U == (0x7c00U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__value)))) + & (~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_zero))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_inf + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_boxed) + & (IData)((0x7c00U == (0x7fffU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__value))))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_nan + = (1U & ((~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_boxed)) + | (IData)(((0x7c00U == (0x7c00U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__value))) + & (0U != (0x3ffU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__value))))))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_signalling + = (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_boxed) + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_nan)) + & (~ ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__value) + >> 9U))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_quiet + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_nan) + & (~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_signalling))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT____Vcellout__i_class_inputs__info_o + = ((0xff00ffU & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT____Vcellout__i_class_inputs__info_o) + | (((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_normal) + << 0xfU) | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_subnormal) + << 0xeU)) | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_zero) + << 0xdU) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_inf) + << 0xcU))) + | ((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_nan) + << 0xbU) | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_signalling) + << 0xaU)) | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_quiet) + << 9U) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_boxed) + << 8U))))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__value + = (0xffffU & (IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_operands_q + >> 0x20U))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_boxed + = (1U & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_is_boxed_q) + >> 2U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_normal + = (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_boxed) + & (0U != (0x1fU & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__value) + >> 0xaU)))) & (0x1fU + != (0x1fU + & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__value) + >> 0xaU)))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_zero + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_boxed) + & (0U == (0x7fffU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__value)))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_subnormal + = (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_boxed) + & (0U == (0x7c00U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__value)))) + & (~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_zero))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_inf + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_boxed) + & (IData)((0x7c00U == (0x7fffU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__value))))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_nan + = (1U & ((~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_boxed)) + | (IData)(((0x7c00U == (0x7c00U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__value))) + & (0U != (0x3ffU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__value))))))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_signalling + = (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_boxed) + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_nan)) + & (~ ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__value) + >> 9U))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_quiet + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_nan) + & (~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_signalling))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT____Vcellout__i_class_inputs__info_o + = ((0xffffU & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT____Vcellout__i_class_inputs__info_o) + | (((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_normal) + << 0x17U) | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_subnormal) + << 0x16U)) | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_zero) + << 0x15U) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_inf) + << 0x14U))) + | ((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_nan) + << 0x13U) | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_signalling) + << 0x12U)) | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_quiet) + << 0x11U) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_boxed) + << 0x10U))))); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT____VdfgExtracted_h3fb90455__0 + = (VL_GTES_III(32, 0U, VL_EXTENDS_II(32,7, (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_diff_q__BRA__6__03a0__KET__))) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_eff_sub_q__BRA__0__KET__) + & VL_GTES_III(32, 2U, VL_EXTENDS_II(32,7, (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_diff_q__BRA__6__03a0__KET__))))); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0 + = (1U & (IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__36__03a0__KET__ + >> 0x18U))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp + = ((0x1fffffeU & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + | (IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0 + = (1U & (IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__36__03a0__KET__ + >> 0x17U))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp + = ((0x1fffffdU & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0) + << 1U)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0 + = (1U & (IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__36__03a0__KET__ + >> 0x16U))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp + = ((0x1fffffbU & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0) + << 2U)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0 + = (1U & (IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__36__03a0__KET__ + >> 0x15U))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp + = ((0x1fffff7U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0) + << 3U)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0 + = (1U & (IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__36__03a0__KET__ + >> 0x14U))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp + = ((0x1ffffefU & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0) + << 4U)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0 + = (1U & (IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__36__03a0__KET__ + >> 0x13U))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp + = ((0x1ffffdfU & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0) + << 5U)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0 + = (1U & (IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__36__03a0__KET__ + >> 0x12U))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp + = ((0x1ffffbfU & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0) + << 6U)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0 + = (1U & (IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__36__03a0__KET__ + >> 0x11U))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp + = ((0x1ffff7fU & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0) + << 7U)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0 + = (1U & (IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__36__03a0__KET__ + >> 0x10U))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp + = ((0x1fffeffU & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0) + << 8U)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0 + = (1U & (IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__36__03a0__KET__ + >> 0xfU))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp + = ((0x1fffdffU & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0) + << 9U)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0 + = (1U & (IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__36__03a0__KET__ + >> 0xeU))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp + = ((0x1fffbffU & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0) + << 0xaU)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0 + = (1U & (IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__36__03a0__KET__ + >> 0xdU))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp + = ((0x1fff7ffU & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0) + << 0xbU)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0 + = (1U & (IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__36__03a0__KET__ + >> 0xcU))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp + = ((0x1ffefffU & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0) + << 0xcU)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0 + = (1U & (IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__36__03a0__KET__ + >> 0xbU))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp + = ((0x1ffdfffU & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0) + << 0xdU)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0 + = (1U & (IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__36__03a0__KET__ + >> 0xaU))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp + = ((0x1ffbfffU & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0) + << 0xeU)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0 + = (1U & (IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__36__03a0__KET__ + >> 9U))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp + = ((0x1ff7fffU & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0) + << 0xfU)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0 + = (1U & (IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__36__03a0__KET__ + >> 8U))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp + = ((0x1feffffU & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0) + << 0x10U)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0 + = (1U & (IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__36__03a0__KET__ + >> 7U))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp + = ((0x1fdffffU & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0) + << 0x11U)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0 + = (1U & (IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__36__03a0__KET__ + >> 6U))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp + = ((0x1fbffffU & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0) + << 0x12U)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0 + = (1U & (IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__36__03a0__KET__ + >> 5U))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp + = ((0x1f7ffffU & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0) + << 0x13U)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0 + = (1U & (IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__36__03a0__KET__ + >> 4U))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp + = ((0x1efffffU & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0) + << 0x14U)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0 + = (1U & (IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__36__03a0__KET__ + >> 3U))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp + = ((0x1dfffffU & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0) + << 0x15U)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0 + = (1U & (IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__36__03a0__KET__ + >> 2U))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp + = ((0x1bfffffU & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0) + << 0x16U)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0 + = (1U & (IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__36__03a0__KET__ + >> 1U))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp + = ((0x17fffffU & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0) + << 0x17U)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0 + = (1U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__36__03a0__KET__)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp + = ((0xffffffU & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT____Vlvbound_hf3ba696d__0) + << 0x18U)); + vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_idx + = ((IData)(vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__) + ? ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q) + ? 0U : 1U) : ((4U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q)) + ? 2U : 3U)); + vlSelfRef.tag_o = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q) + & (IData)(vlSelfRef.fpnew_top__DOT____Vcellout__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__tag_o)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__4__KET__)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__index_nodes__BRA__5__03a3__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__) + ? ((1U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp)) + ? 0U : 1U) : ((4U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp)) + ? 2U : 3U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__4__KET__)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__index_nodes__BRA__5__03a3__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__) + ? ((1U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp)) + ? 0U : 1U) : ((4U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp)) + ? 2U : 3U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__4__KET__)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__index_nodes__BRA__5__03a3__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__) + ? ((1U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp)) + ? 0U : 1U) : ((4U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp)) + ? 2U : 3U)); + fpnew_top__DOT____Vlvbound_h3d815ed0__0 = (7U & (IData)(vlSelfRef.fpnew_top__DOT__is_boxed)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__input_boxed + = ((0x7ff8U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__input_boxed)) + | (IData)(fpnew_top__DOT____Vlvbound_h3d815ed0__0)); + fpnew_top__DOT____Vlvbound_h3d815ed0__0 = (7U & + ((IData)(vlSelfRef.fpnew_top__DOT__is_boxed) + >> 3U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__input_boxed + = ((0x7fc7U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__input_boxed)) + | ((IData)(fpnew_top__DOT____Vlvbound_h3d815ed0__0) + << 3U)); + fpnew_top__DOT____Vlvbound_h3d815ed0__0 = (7U & + ((IData)(vlSelfRef.fpnew_top__DOT__is_boxed) + >> 6U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__input_boxed + = ((0x7e3fU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__input_boxed)) + | ((IData)(fpnew_top__DOT____Vlvbound_h3d815ed0__0) + << 6U)); + fpnew_top__DOT____Vlvbound_h3d815ed0__0 = (7U & + ((IData)(vlSelfRef.fpnew_top__DOT__is_boxed) + >> 9U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__input_boxed + = ((0x71ffU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__input_boxed)) + | ((IData)(fpnew_top__DOT____Vlvbound_h3d815ed0__0) + << 9U)); + fpnew_top__DOT____Vlvbound_h3d815ed0__0 = (7U & + ((IData)(vlSelfRef.fpnew_top__DOT__is_boxed) + >> 0xcU)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__input_boxed + = ((0xfffU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__input_boxed)) + | ((IData)(fpnew_top__DOT____Vlvbound_h3d815ed0__0) + << 0xcU)); + fpnew_top__DOT____Vlvbound_h3fc30c31__0 = (3U & (IData)(vlSelfRef.fpnew_top__DOT__is_boxed)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__input_boxed + = ((0x3fcU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__input_boxed)) + | (IData)(fpnew_top__DOT____Vlvbound_h3fc30c31__0)); + fpnew_top__DOT____Vlvbound_h3fc30c31__0 = (3U & + ((IData)(vlSelfRef.fpnew_top__DOT__is_boxed) + >> 3U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__input_boxed + = ((0x3f3U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__input_boxed)) + | ((IData)(fpnew_top__DOT____Vlvbound_h3fc30c31__0) + << 2U)); + fpnew_top__DOT____Vlvbound_h3fc30c31__0 = (3U & + ((IData)(vlSelfRef.fpnew_top__DOT__is_boxed) + >> 6U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__input_boxed + = ((0x3cfU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__input_boxed)) + | ((IData)(fpnew_top__DOT____Vlvbound_h3fc30c31__0) + << 4U)); + fpnew_top__DOT____Vlvbound_h3fc30c31__0 = (3U & + ((IData)(vlSelfRef.fpnew_top__DOT__is_boxed) + >> 9U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__input_boxed + = ((0x33fU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__input_boxed)) + | ((IData)(fpnew_top__DOT____Vlvbound_h3fc30c31__0) + << 6U)); + fpnew_top__DOT____Vlvbound_h3fc30c31__0 = (3U & + ((IData)(vlSelfRef.fpnew_top__DOT__is_boxed) + >> 0xcU)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__input_boxed + = ((0xffU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__input_boxed)) + | ((IData)(fpnew_top__DOT____Vlvbound_h3fc30c31__0) + << 8U)); + fpnew_top__DOT____Vlvbound_hb59f76d3__0 = (3U & (IData)(vlSelfRef.fpnew_top__DOT__is_boxed)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__input_boxed + = ((0x3fcU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__input_boxed)) + | (IData)(fpnew_top__DOT____Vlvbound_hb59f76d3__0)); + fpnew_top__DOT____Vlvbound_hb59f76d3__0 = (3U & + ((IData)(vlSelfRef.fpnew_top__DOT__is_boxed) + >> 3U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__input_boxed + = ((0x3f3U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__input_boxed)) + | ((IData)(fpnew_top__DOT____Vlvbound_hb59f76d3__0) + << 2U)); + fpnew_top__DOT____Vlvbound_hb59f76d3__0 = (3U & + ((IData)(vlSelfRef.fpnew_top__DOT__is_boxed) + >> 6U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__input_boxed + = ((0x3cfU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__input_boxed)) + | ((IData)(fpnew_top__DOT____Vlvbound_hb59f76d3__0) + << 4U)); + fpnew_top__DOT____Vlvbound_hb59f76d3__0 = (3U & + ((IData)(vlSelfRef.fpnew_top__DOT__is_boxed) + >> 9U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__input_boxed + = ((0x33fU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__input_boxed)) + | ((IData)(fpnew_top__DOT____Vlvbound_hb59f76d3__0) + << 6U)); + fpnew_top__DOT____Vlvbound_hb59f76d3__0 = (3U & + ((IData)(vlSelfRef.fpnew_top__DOT__is_boxed) + >> 0xcU)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__input_boxed + = ((0xffU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__input_boxed)) + | ((IData)(fpnew_top__DOT____Vlvbound_hb59f76d3__0) + << 8U)); + fpnew_top__DOT____Vlvbound_hbef70b91__0 = (7U & (IData)(vlSelfRef.fpnew_top__DOT__is_boxed)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__input_boxed + = ((0x7ff8U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__input_boxed)) + | (IData)(fpnew_top__DOT____Vlvbound_hbef70b91__0)); + fpnew_top__DOT____Vlvbound_hbef70b91__0 = (7U & + ((IData)(vlSelfRef.fpnew_top__DOT__is_boxed) + >> 3U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__input_boxed + = ((0x7fc7U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__input_boxed)) + | ((IData)(fpnew_top__DOT____Vlvbound_hbef70b91__0) + << 3U)); + fpnew_top__DOT____Vlvbound_hbef70b91__0 = (7U & + ((IData)(vlSelfRef.fpnew_top__DOT__is_boxed) + >> 6U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__input_boxed + = ((0x7e3fU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__input_boxed)) + | ((IData)(fpnew_top__DOT____Vlvbound_hbef70b91__0) + << 6U)); + fpnew_top__DOT____Vlvbound_hbef70b91__0 = (7U & + ((IData)(vlSelfRef.fpnew_top__DOT__is_boxed) + >> 9U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__input_boxed + = ((0x71ffU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__input_boxed)) + | ((IData)(fpnew_top__DOT____Vlvbound_hbef70b91__0) + << 9U)); + fpnew_top__DOT____Vlvbound_hbef70b91__0 = (7U & + ((IData)(vlSelfRef.fpnew_top__DOT__is_boxed) + >> 0xcU)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__input_boxed + = ((0xfffU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__input_boxed)) + | ((IData)(fpnew_top__DOT____Vlvbound_hbef70b91__0) + << 0xcU)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__index_nodes__BRA__5__03a3__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__) + ? ((1U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp)) + ? 0U : 1U) : ((4U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp)) + ? 2U : 3U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__4__KET__)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__index_nodes__BRA__5__03a3__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__) + ? ((1U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp)) + ? 0U : 1U) : ((4U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp)) + ? 2U : 3U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__4__KET__)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__index_nodes__BRA__5__03a3__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__) + ? ((1U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp)) + ? 0U : 1U) : ((4U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp)) + ? 2U : 3U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__4__KET__)); + vlSelfRef.fpnew_top__DOT____Vcellinp__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__out_ready_i + = ((~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q)) + & (IData)(vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gnt_nodes__BRA__1__KET__)); + vlSelfRef.fpnew_top__DOT____Vcellinp__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__out_ready_i + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q) + & (IData)(vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gnt_nodes__BRA__1__KET__)); + if ((8U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_op_q))) { + if ((4U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_op_q))) { + if ((2U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_op_q))) { + if ((1U & (~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_op_q)))) { + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_c = 0x8401U; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_b = 0x8401U; + } + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_a + = ((1U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_op_q)) + ? 0x3c00U : 0x8401U); + } else { + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_c = 0x8401U; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_b = 0x8401U; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_a = 0x8401U; + } + } else { + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_c = 0x8401U; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_b = 0x8401U; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_a = 0x8401U; + } + } else if ((4U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_op_q))) { + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_c = 0x8401U; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_b = 0x8401U; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_a = 0x8401U; + } else if ((2U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_op_q))) { + if ((1U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_op_q))) { + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_c + = ((2U == (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_rnd_mode_q)) + ? 0U : 0x8000U); + } + if ((1U & (~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_op_q)))) { + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_a = 0x3c00U; + } + } else if ((1U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_op_q))) { + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_a + = ((0x7fffU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_a)) + | (0x8000U & ((~ ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_a) + >> 0xfU)) << 0xfU))); + } + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__tentative_sign + = (1U & (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_a) + ^ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_b)) + >> 0xfU)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT____Vlvbound_h5a5e22bf__0 + = (1U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_mask)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp + = ((0x1eU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp)) + | (IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT____Vlvbound_h5a5e22bf__0)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT____Vlvbound_h5a5e22bf__0 + = (1U & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_mask) + >> 1U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp + = ((0x1dU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp)) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT____Vlvbound_h5a5e22bf__0) + << 1U)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT____Vlvbound_h5a5e22bf__0 + = (1U & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_mask) + >> 2U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp + = ((0x1bU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp)) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT____Vlvbound_h5a5e22bf__0) + << 2U)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT____Vlvbound_h5a5e22bf__0 + = (1U & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_mask) + >> 3U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp + = ((0x17U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp)) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT____Vlvbound_h5a5e22bf__0) + << 3U)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT____Vlvbound_h5a5e22bf__0 + = (1U & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_mask) + >> 4U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp + = ((0xfU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp)) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT____Vlvbound_h5a5e22bf__0) + << 4U)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT____Vlvbound_h5a5e22bf__0 + = (1U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__upper_mask)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp + = ((0x1eU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp)) + | (IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT____Vlvbound_h5a5e22bf__0)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT____Vlvbound_h5a5e22bf__0 + = (1U & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__upper_mask) + >> 1U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp + = ((0x1dU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp)) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT____Vlvbound_h5a5e22bf__0) + << 1U)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT____Vlvbound_h5a5e22bf__0 + = (1U & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__upper_mask) + >> 2U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp + = ((0x1bU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp)) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT____Vlvbound_h5a5e22bf__0) + << 2U)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT____Vlvbound_h5a5e22bf__0 + = (1U & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__upper_mask) + >> 3U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp + = ((0x17U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp)) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT____Vlvbound_h5a5e22bf__0) + << 3U)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT____Vlvbound_h5a5e22bf__0 + = (1U & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__upper_mask) + >> 4U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp + = ((0xfU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp)) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT____Vlvbound_h5a5e22bf__0) + << 4U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_c + = (0xffU & (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT____Vcellout__i_class_inputs__info_o + >> 0x10U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_b + = (0xffU & (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT____Vcellout__i_class_inputs__info_o + >> 8U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_a + = (0xffU & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT____Vcellout__i_class_inputs__info_o); + if ((8U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_op_q))) { + if ((4U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_op_q))) { + if ((2U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_op_q))) { + if ((1U & (~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_op_q)))) { + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_c = 0xffU; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_b = 0xffU; + } + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_a + = ((1U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_op_q)) + ? 0x81U : 0xffU); + } else { + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_c = 0xffU; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_b = 0xffU; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_a = 0xffU; + } + } else { + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_c = 0xffU; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_b = 0xffU; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_a = 0xffU; + } + } else if ((4U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_op_q))) { + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_c = 0xffU; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_b = 0xffU; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_a = 0xffU; + } else if ((2U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_op_q))) { + if ((1U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_op_q))) { + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_c = 0x21U; + } + if ((1U & (~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_op_q)))) { + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_a = 0x81U; + } + } + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__34__03a30__KET__ + = ((0x1000000U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + ? ((0x1000000U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + ? 0x18U : 0U) : 0U); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__22__KET__ + = (IData)((0U != (0xc000U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__26__KET__ + = (IData)((0U != (0xc00000U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__18__KET__ + = (IData)((0U != (0xc0U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__20__KET__ + = (IData)((0U != (0xc00U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__21__KET__ + = (IData)((0U != (0x3000U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__24__KET__ + = (IData)((0U != (0xc0000U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__25__KET__ + = (IData)((0U != (0x300000U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__16__KET__ + = (IData)((0U != (0xcU & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__17__KET__ + = (IData)((0U != (0x30U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__19__KET__ + = (IData)((0U != (0x300U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__23__KET__ + = (IData)((0U != (0x30000U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__15__KET__ + = (IData)((0U != (3U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp))); + vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__rr_d + = ((IData)(vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gnt_nodes__BRA__1__KET__) + ? (IData)(vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_idx) + : (IData)(vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__rr_q)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_idx + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__) + ? (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__index_nodes__BRA__5__03a3__KET__) + : ((0x10U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp)) + ? 4U : 0U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_idx + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__) + ? (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__index_nodes__BRA__5__03a3__KET__) + : ((0x10U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp)) + ? 4U : 0U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_idx + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__) + ? (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__index_nodes__BRA__5__03a3__KET__) + : ((0x10U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp)) + ? 4U : 0U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__0__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp) + >> 4U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__upper_idx + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__) + ? (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__index_nodes__BRA__5__03a3__KET__) + : ((0x10U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp)) + ? 4U : 0U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__0__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp) + >> 4U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__upper_idx + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__) + ? (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__index_nodes__BRA__5__03a3__KET__) + : ((0x10U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp)) + ? 4U : 0U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__0__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp) + >> 4U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__upper_idx + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__) + ? (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__index_nodes__BRA__5__03a3__KET__) + : ((0x10U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp)) + ? 4U : 0U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gnt_nodes__BRA__2__KET__ + = ((~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q)) + & (IData)(vlSelfRef.fpnew_top__DOT____Vcellinp__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__out_ready_i)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gnt_nodes__BRA__1__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q) + & (IData)(vlSelfRef.fpnew_top__DOT____Vcellinp__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__out_ready_i)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__effective_subtraction + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__tentative_sign) + ^ ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_c) + >> 0xfU)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__4__KET__ + = (IData)((0U != (0xcU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp)))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__ + = (IData)((0U != (3U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp)))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__4__KET__ + = (IData)((0U != (0xcU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp)))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__ + = (IData)((0U != (3U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp)))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__exponent_addend + = (0x7fU & (VL_EXTENDS_II(7,6, (0x1fU & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_c) + >> 0xaU))) + + VL_EXTENDS_II(7,2, (1U & (~ ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_c) + >> 7U)))))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__product + = (0x3fffffU & (((0x400U & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_a) + << 3U)) | (0x3ffU + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_a))) + * ((0x400U & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_b) + << 3U)) | (0x3ffU + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_b))))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_prod_q_w + = ((0x20U & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_a) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_b))) + ? 0x73U : (0x7fU & ((VL_EXTENDS_II(7,6, + (0x1fU + & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_a) + >> 0xaU))) + + ((1U & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_a) + >> 6U)) + + (VL_EXTENDS_II(7,6, + (0x1fU + & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_b) + >> 0xaU))) + + (1U & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_b) + >> 6U))))) + - (IData)(0xfU)))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__any_operand_nan + = (1U & (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_a) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_b) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_c))) + >> 3U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__any_operand_inf + = (1U & (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_a) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_b) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_c))) + >> 4U)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__54__03a50__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__21__KET__) + ? ((0x1000U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + ? 0xcU : 0xdU) : ((0x4000U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + ? 0xeU : 0xfU)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__10__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__21__KET__) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__22__KET__)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__64__03a60__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__25__KET__) + ? ((0x100000U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + ? 0x14U : 0x15U) : ((0x400000U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + ? 0x16U : 0x17U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__12__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__25__KET__) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__26__KET__)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__44__03a40__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__17__KET__) + ? ((0x10U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + ? 4U : 5U) : ((0x40U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + ? 6U : 7U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__8__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__17__KET__) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__18__KET__)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__49__03a45__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__19__KET__) + ? ((0x100U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + ? 8U : 9U) : ((0x400U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + ? 0xaU : 0xbU)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__9__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__19__KET__) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__20__KET__)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__59__03a55__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__23__KET__) + ? ((0x10000U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + ? 0x10U : 0x11U) : ((0x40000U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + ? 0x12U : 0x13U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__11__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__23__KET__) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__24__KET__)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__39__03a35__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__15__KET__) + ? ((1U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + ? 0U : 1U) : ((4U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + ? 2U : 3U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__7__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__15__KET__) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__16__KET__)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__out_ready + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q) + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gnt_nodes__BRA__1__KET__)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__4__KET__)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__index_nodes__BRA__5__03a3__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__) + ? ((1U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp)) + ? 0U : 1U) : ((4U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp)) + ? 2U : 3U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__index_nodes__BRA__5__03a3__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__) + ? ((1U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp)) + ? 0U : 1U) : ((4U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp)) + ? 2U : 3U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__4__KET__)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_diff_q_w + = (0x7fU & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__exponent_addend) + - (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_prod_q_w))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__result_is_special = 0U; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__special_status = 0U; + if ((1U & ((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_a) + >> 4U) & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_b) + >> 5U)) | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_a) + >> 5U) & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_b) + >> 4U))))) { + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__result_is_special = 1U; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__special_status + = (0x10U | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__special_status)); + } else if (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__any_operand_nan) { + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__result_is_special = 1U; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__special_status + = ((0xfU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__special_status)) + | (0x10U & (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_a) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_b) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_c))) + << 2U))); + } else if (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__any_operand_inf) { + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__result_is_special = 1U; + if ((((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_a) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_b)) + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_c)) + >> 4U) & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__effective_subtraction))) { + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__special_status + = (0x10U | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__special_status)); + } + } + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__special_result = 0x7e00U; + if ((1U & (~ ((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_a) + >> 4U) & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_b) + >> 5U)) | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_a) + >> 5U) & + ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_b) + >> 4U)))))) { + if ((1U & (~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__any_operand_nan)))) { + if (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__any_operand_inf) { + if ((1U & (~ (((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_a) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_b)) + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_c)) + >> 4U) & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__effective_subtraction))))) { + if ((0x10U & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_a) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_b)))) { + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__special_result + = (0x7c00U | (0x8000U & + ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_a) + ^ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_b)))); + } else if ((0x10U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_c))) { + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__special_result + = (0x7c00U | (0x8000U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_c))); + } + } + } + } + } + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__24__03a20__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__9__KET__) + ? (IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__49__03a45__KET__) + : (IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__54__03a50__KET__)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__4__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__9__KET__) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__10__KET__)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__29__03a25__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__11__KET__) + ? (IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__59__03a55__KET__) + : (IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__64__03a60__KET__)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__5__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__11__KET__) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__12__KET__)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__19__03a15__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__7__KET__) + ? (IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__39__03a35__KET__) + : (IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__44__03a40__KET__)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__7__KET__) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__8__KET__)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_ready__BRA__1__KET__ + = (1U & ((~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q)) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__out_ready))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_idx + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__) + ? (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__index_nodes__BRA__5__03a3__KET__) + : ((0x10U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp)) + ? 4U : 0U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__0__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp) + >> 4U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__upper_idx + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__) + ? (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__index_nodes__BRA__5__03a3__KET__) + : ((0x10U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp)) + ? 4U : 0U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_add_shamt_q_w + = (VL_GTES_III(32, 0xffffffe9U, VL_EXTENDS_II(32,7, (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_diff_q_w))) + ? 0x25U : (VL_GTES_III(32, 0xdU, VL_EXTENDS_II(32,7, (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_diff_q_w))) + ? (0x3fU & ((IData)(0xeU) - + VL_EXTENDS_II(6,7, (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_diff_q_w)))) + : 0U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__2__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__5__KET__) + | (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp + >> 0x18U)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__14__03a10__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__5__KET__) + ? (IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__29__03a25__KET__) + : (IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__34__03a30__KET__)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__9__03a5__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__) + ? (IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__19__03a15__KET__) + : (IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__24__03a20__KET__)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__4__KET__)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__gen_output_pipeline__BRA__0__KET____DOT__reg_ena + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_ready__BRA__1__KET__) + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_ready__BRA__1__KET__ + = (1U & ((~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_valid_q)) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_ready__BRA__1__KET__))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__rr_d + = (((IData)(vlSelfRef.fpnew_top__DOT____Vcellinp__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__out_ready_i) + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q)) + ? ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__0__KET__) + ? (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__upper_idx) + : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_idx)) + : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__rr_q)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT____VdfgRegularize_h2c6913e2_2_2 + = (0xffffffffffffULL & ((0xffffffffffffULL + & VL_SHIFTL_QQI(48,48,32, (QData)((IData)( + ((0x400U + & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_c) + << 3U)) + | (0x3ffU + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_c))))), 0x25U)) + >> (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_add_shamt_q_w))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__0__KET__ + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__2__KET__)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__leading_zero_count + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__) + ? (IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__9__03a5__KET__) + : (IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__14__03a10__KET__)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__gen_inside_pipeline__BRA__1__KET____DOT__reg_ena + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_ready__BRA__1__KET__) + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_valid_q)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_ready__BRA__2__KET__ + = (1U & ((~ ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_valid_q) + >> 1U)) | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_ready__BRA__1__KET__))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__sum_pos + = (0x3fffffffffULL & ((0x1fffffffffULL & VL_SHIFTL_QQI(37,37,32, (QData)((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__product)), 2U)) + + ((0x1fffffffffULL & + ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__effective_subtraction) + ? (~ (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT____VdfgRegularize_h2c6913e2_2_2 + >> 0xbU)) + : (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT____VdfgRegularize_h2c6913e2_2_2 + >> 0xbU))) + (QData)((IData)( + ((~ (IData)( + (0U + != + (0x7ffU + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT____VdfgRegularize_h2c6913e2_2_2))))) + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__effective_subtraction))))))); + __Vtemp_3[1U] = (((IData)((((QData)((IData)(((( + (0x1000000U + & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + ? 0x18U + : 0U) + << 0xaU) + | (((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__64__03a60__KET__) + << 5U) + | (IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__59__03a55__KET__))))) + << 0x14U) | (QData)((IData)( + ((((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__54__03a50__KET__) + << 0xfU) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__49__03a45__KET__) + << 0xaU)) + | (((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__44__03a40__KET__) + << 5U) + | (IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__39__03a35__KET__))))))) + << 3U) | (IData)(((((QData)((IData)( + (((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__34__03a30__KET__) + << 0xaU) + | (((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__29__03a25__KET__) + << 5U) + | (IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__24__03a20__KET__))))) + << 0x14U) + | (QData)((IData)( + ((((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__19__03a15__KET__) + << 0xfU) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__14__03a10__KET__) + << 0xaU)) + | (((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__9__03a5__KET__) + << 5U) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__leading_zero_count)))))) + >> 0x20U))); + __Vtemp_3[2U] = (((IData)((((QData)((IData)(((( + (0x1000000U + & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + ? 0x18U + : 0U) + << 0xaU) + | (((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__64__03a60__KET__) + << 5U) + | (IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__59__03a55__KET__))))) + << 0x14U) | (QData)((IData)( + ((((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__54__03a50__KET__) + << 0xfU) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__49__03a45__KET__) + << 0xaU)) + | (((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__44__03a40__KET__) + << 5U) + | (IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__39__03a35__KET__))))))) + >> 0x1dU) | ((IData)(((((QData)((IData)( + ((((0x1000000U + & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + ? 0x18U + : 0U) + << 0xaU) + | (((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__64__03a60__KET__) + << 5U) + | (IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__59__03a55__KET__))))) + << 0x14U) + | (QData)((IData)( + ((((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__54__03a50__KET__) + << 0xfU) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__49__03a45__KET__) + << 0xaU)) + | (((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__44__03a40__KET__) + << 5U) + | (IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__39__03a35__KET__)))))) + >> 0x20U)) + << 3U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes[0U] + = (IData)((((QData)((IData)((((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__34__03a30__KET__) + << 0xaU) | (((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__29__03a25__KET__) + << 5U) + | (IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__24__03a20__KET__))))) + << 0x14U) | (QData)((IData)(((((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__19__03a15__KET__) + << 0xfU) + | ((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__14__03a10__KET__) + << 0xaU)) + | (((IData)(fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__9__03a5__KET__) + << 5U) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__leading_zero_count))))))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes[1U] + = __Vtemp_3[1U]; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes[2U] + = ((0xfffff800U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes[2U]) + | __Vtemp_3[2U]); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes[2U] + = ((0xffff07ffU & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes[2U]) + | (((1U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + ? 0U : 1U) << 0xbU)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes[2U] + = ((0xfc00ffffU & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes[2U]) + | (0xffff0000U & ((((0x10U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + ? 4U : 5U) << 0x15U) + | (((4U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + ? 2U : 3U) << 0x10U)))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes[2U] + = ((0x3ffffffU & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes[2U]) + | (0xfc000000U & ((((0x100U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + ? 8U : 9U) << 0x1fU) + | (((0x40U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + ? 6U : 7U) << 0x1aU)))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes[3U] + = ((0xfffffff0U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes[3U]) + | (0x3ffffffU & ((0x3ffffffU & (((0x100U + & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + ? 8U : 9U) + >> 1U)) + | (((0x40U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + ? 6U : 7U) >> 6U)))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes[3U] + = ((0xff00000fU & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes[3U]) + | (0xfffffff0U & (((((0x10000U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + ? 0x10U : 0x11U) << 0x13U) + | (((0x4000U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + ? 0xeU : 0xfU) << 0xeU)) + | ((((0x1000U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + ? 0xcU : 0xdU) << 9U) + | (((0x400U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + ? 0xaU : 0xbU) + << 4U))))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes[3U] + = ((0xffffffU & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes[3U]) + | (0xff000000U & ((((0x100000U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + ? 0x14U : 0x15U) << 0x1dU) + | (((0x40000U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + ? 0x12U : 0x13U) + << 0x18U)))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes[4U] + = ((0xfffffffcU & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes[4U]) + | (0xffffffU & ((0xffffffU & (((0x100000U + & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + ? 0x14U : 0x15U) + >> 3U)) | + (((0x40000U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + ? 0x12U : 0x13U) >> 8U)))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes[4U] + = ((0xf8000003U & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes[4U]) + | (0xfffffffcU & (0xc00U | (((0x400000U + & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp) + ? 0x16U : 0x17U) + << 2U)))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes[4U] + = ((0x7ffffffU & vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes[4U]) + | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__159__03a155__KET__) + << 0x1bU)); + if (fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT____VdfgExtracted_h3fb90455__0) { + if ((VL_LTES_III(32, 0U, ((IData)(1U) + (VL_EXTENDS_II(32,7, (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_prod_q__BRA__6__03a0__KET__)) + - + VL_EXTENDS_II(32,6, (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__leading_zero_count))))) + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__0__KET__))) { + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__normalized_exponent + = (0x7fU & ((IData)(1U) + (VL_EXTENDS_II(7,7, (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_prod_q__BRA__6__03a0__KET__)) + - VL_EXTENDS_II(7,6, (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__leading_zero_count))))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__norm_shamt + = (0x3fU & ((IData)(0xdU) + (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__leading_zero_count))); + } else { + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__normalized_exponent + = (0x7fU & 0U); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__norm_shamt + = (0x3fU & ((IData)(0xdU) + VL_EXTENDS_II(6,7, (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_prod_q__BRA__6__03a0__KET__)))); + } + } else { + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__normalized_exponent + = (0x7fU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_tent_exp_q__BRA__6__03a0__KET__)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__norm_shamt + = (0x3fU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_add_shamt_q__BRA__5__03a0__KET__)); + } + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__gen_inside_pipeline__BRA__0__KET____DOT__reg_ena + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_ready__BRA__2__KET__) + & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_valid_q) + >> 1U)); + fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT____VdfgExtracted_h11a4c16d__0 + = (0x1ffffffU & VL_SHIFTL_III(25,25,6, (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__36__03a0__KET__), (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__norm_shamt))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__sum_shifted + = (0x3fffffffffULL & (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__36__03a0__KET__ + << (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__norm_shamt))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__sum_sticky_bits + = fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT____VdfgExtracted_h11a4c16d__0; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__final_mantissa + = (0xfffU & (IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__sum_shifted + >> 0x19U))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__final_exponent + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__normalized_exponent; + if ((1U & (IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__sum_shifted + >> 0x25U)))) { + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__sum_sticky_bits + = (0x1ffffffU & (IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__sum_shifted + >> 1U))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__final_mantissa + = (0xfffU & (IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__sum_shifted + >> 0x1aU))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__final_exponent + = (0x7fU & ((IData)(1U) + VL_EXTENDS_II(7,7, (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__normalized_exponent)))); + } else if ((1U & (~ (IData)((vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__sum_shifted + >> 0x24U))))) { + if (VL_LTS_III(32, 1U, VL_EXTENDS_II(32,7, (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__normalized_exponent)))) { + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__sum_sticky_bits + = (0x1ffffffU & VL_SHIFTL_III(25,25,32, fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT____VdfgExtracted_h11a4c16d__0, 1U)); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__final_mantissa + = (0xfffU & (IData)((0x1fffULL & (VL_SHIFTL_QQI(38,38,32, vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__sum_shifted, 1U) + >> 0x19U)))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__final_exponent + = (0x7fU & (VL_EXTENDS_II(7,7, (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__normalized_exponent)) + - (IData)(1U))); + } else { + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__final_exponent = 0U; + } + } + if (VL_LTES_III(32, 0x1fU, VL_EXTENDS_II(32,7, (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__final_exponent)))) { + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__round_sticky_bits = 3U; + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__pre_round_exponent = 0x1eU; + } else { + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__round_sticky_bits + = ((2U & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__final_mantissa) + << 1U)) | ((0U != vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__sum_sticky_bits) + | (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sticky_q__BRA__0__KET__))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__pre_round_exponent + = (0x1fU & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__final_exponent)); + } + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__pre_round_abs + = (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__pre_round_exponent) + << 0xaU) | (VL_LTES_III(32, 0x1fU, VL_EXTENDS_II(32,7, (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__final_exponent))) + ? 0x3ffU : (0x3ffU & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__final_mantissa) + >> 1U)))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__rounded_abs + = (0x7fffU & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__pre_round_abs) + + ((4U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_rnd_mode_q__BRA__2__03a0__KET__)) + ? ((1U & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_rnd_mode_q__BRA__2__03a0__KET__) + >> 1U)) || (1U + & ((1U + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_rnd_mode_q__BRA__2__03a0__KET__)) + ? + ((~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__pre_round_abs)) + & (0U + != (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__round_sticky_bits))) + : + ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__round_sticky_bits) + >> 1U)))) + : ((2U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_rnd_mode_q__BRA__2__03a0__KET__)) + ? ((1U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_rnd_mode_q__BRA__2__03a0__KET__)) + ? ((0U != (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__round_sticky_bits)) + & (~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_final_sign_q__BRA__0__KET__))) + : ((0U != (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__round_sticky_bits)) + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_final_sign_q__BRA__0__KET__))) + : ((1U & (~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_rnd_mode_q__BRA__2__03a0__KET__))) + && ((1U & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__round_sticky_bits) + >> 1U)) + && ((1U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__round_sticky_bits)) + || (1U & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__pre_round_abs))))))))); +} + +VL_ATTR_COLD void Vfpnew_top___024root___stl_comb__TOP__2(Vfpnew_top___024root* vlSelf) { + VL_DEBUG_IF(VL_DBG_MSGF("+ Vfpnew_top___024root___stl_comb__TOP__2\n"); ); + Vfpnew_top__Syms* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp; + auto& vlSelfRef = std::ref(*vlSelf).get(); + // Body + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_ready__BRA__1__KET__ + = (1U & ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_ready__BRA__1__KET__) + | (~ (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_valid_q)))); + vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__gen_input_pipeline__BRA__0__KET____DOT__reg_ena + = ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_ready__BRA__1__KET__) + & (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_valid_q)); + vlSelfRef.in_ready_o = ((IData)(vlSelfRef.in_valid_i) + & ((((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__in_valid) + & ((4U >= (IData)(vlSelfRef.dst_fmt_i)) + ? 0U : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT____Vxrand_h8df6f50b__0))) + << 3U) | (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__in_valid) + & ((4U + >= (IData)(vlSelfRef.dst_fmt_i)) + ? 0U + : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT____Vxrand_h8df6f50b__0))) + << 2U)) + | ((((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__in_valid) + & ((4U >= (IData)(vlSelfRef.dst_fmt_i)) + ? 0U : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT____Vxrand_h8df6f50b__0))) + << 1U) | ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__in_valid) + & ((4U + >= (IData)(vlSelfRef.dst_fmt_i)) + ? + (((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_ready__BRA__1__KET__) + << 2U) + >> (IData)(vlSelfRef.dst_fmt_i)) + : (IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT____Vxrand_h8df6f50b__0))))) + >> ([&]() { + vlSelfRef.__Vfunc_get_opgroup__0__op + = vlSelfRef.op_i; + { + if ((8U & (IData)(vlSelfRef.__Vfunc_get_opgroup__0__op))) { + if ((4U & (IData)(vlSelfRef.__Vfunc_get_opgroup__0__op))) { + if ((2U & (IData)(vlSelfRef.__Vfunc_get_opgroup__0__op))) { + if ((1U & (IData)(vlSelfRef.__Vfunc_get_opgroup__0__op))) { + vlSelfRef.__Vfunc_get_opgroup__0__Vfuncout = 0U; + goto __Vlabel5; + } else { + vlSelfRef.__Vfunc_get_opgroup__0__Vfuncout = 3U; + goto __Vlabel5; + } + } else { + vlSelfRef.__Vfunc_get_opgroup__0__Vfuncout = 3U; + goto __Vlabel5; + } + } else if ((2U & (IData)(vlSelfRef.__Vfunc_get_opgroup__0__op))) { + vlSelfRef.__Vfunc_get_opgroup__0__Vfuncout = 3U; + goto __Vlabel5; + } else { + vlSelfRef.__Vfunc_get_opgroup__0__Vfuncout = 2U; + goto __Vlabel5; + } + } else if ((4U & (IData)(vlSelfRef.__Vfunc_get_opgroup__0__op))) { + if ((2U & (IData)(vlSelfRef.__Vfunc_get_opgroup__0__op))) { + vlSelfRef.__Vfunc_get_opgroup__0__Vfuncout = 2U; + goto __Vlabel5; + } else { + vlSelfRef.__Vfunc_get_opgroup__0__Vfuncout = 1U; + goto __Vlabel5; + } + } else { + vlSelfRef.__Vfunc_get_opgroup__0__Vfuncout = 0U; + goto __Vlabel5; + } + __Vlabel5: ; + } + }(), (IData)(vlSelfRef.__Vfunc_get_opgroup__0__Vfuncout)))); +} + +VL_ATTR_COLD void Vfpnew_top___024root___eval_triggers__stl(Vfpnew_top___024root* vlSelf); + +VL_ATTR_COLD bool Vfpnew_top___024root___eval_phase__stl(Vfpnew_top___024root* vlSelf) { + VL_DEBUG_IF(VL_DBG_MSGF("+ Vfpnew_top___024root___eval_phase__stl\n"); ); + Vfpnew_top__Syms* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp; + auto& vlSelfRef = std::ref(*vlSelf).get(); + // Init + CData/*0:0*/ __VstlExecute; + // Body + Vfpnew_top___024root___eval_triggers__stl(vlSelf); + __VstlExecute = vlSelfRef.__VstlTriggered.any(); + if (__VstlExecute) { + Vfpnew_top___024root___eval_stl(vlSelf); + } + return (__VstlExecute); +} + +#ifdef VL_DEBUG +VL_ATTR_COLD void Vfpnew_top___024root___dump_triggers__ico(Vfpnew_top___024root* vlSelf) { + VL_DEBUG_IF(VL_DBG_MSGF("+ Vfpnew_top___024root___dump_triggers__ico\n"); ); + Vfpnew_top__Syms* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp; + auto& vlSelfRef = std::ref(*vlSelf).get(); + // Body + if ((1U & (~ vlSelfRef.__VicoTriggered.any()))) { + VL_DBG_MSGF(" No triggers active\n"); + } + if ((1ULL & vlSelfRef.__VicoTriggered.word(0U))) { + VL_DBG_MSGF(" 'ico' region trigger index 0 is active: Internal 'ico' trigger - first iteration\n"); + } +} +#endif // VL_DEBUG + +#ifdef VL_DEBUG +VL_ATTR_COLD void Vfpnew_top___024root___dump_triggers__act(Vfpnew_top___024root* vlSelf) { + VL_DEBUG_IF(VL_DBG_MSGF("+ Vfpnew_top___024root___dump_triggers__act\n"); ); + Vfpnew_top__Syms* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp; + auto& vlSelfRef = std::ref(*vlSelf).get(); + // Body + if ((1U & (~ vlSelfRef.__VactTriggered.any()))) { + VL_DBG_MSGF(" No triggers active\n"); + } + if ((1ULL & vlSelfRef.__VactTriggered.word(0U))) { + VL_DBG_MSGF(" 'act' region trigger index 0 is active: @([hybrid] fpnew_top.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.lane_instance.i_fma.inp_pipe_ready[1])\n"); + } + if ((2ULL & vlSelfRef.__VactTriggered.word(0U))) { + VL_DBG_MSGF(" 'act' region trigger index 1 is active: @([hybrid] fpnew_top.i_arbiter.gen_arbiter.index_nodes)\n"); + } + if ((4ULL & vlSelfRef.__VactTriggered.word(0U))) { + VL_DBG_MSGF(" 'act' region trigger index 2 is active: @([hybrid] fpnew_top.gen_operation_groups[0].i_opgroup_block.i_arbiter.gen_arbiter.index_nodes)\n"); + } + if ((8ULL & vlSelfRef.__VactTriggered.word(0U))) { + VL_DBG_MSGF(" 'act' region trigger index 3 is active: @([hybrid] fpnew_top.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.lane_instance.i_fma.regular_status)\n"); + } + if ((0x10ULL & vlSelfRef.__VactTriggered.word(0U))) { + VL_DBG_MSGF(" 'act' region trigger index 4 is active: @([hybrid] fpnew_top.gen_operation_groups[1].i_opgroup_block.i_arbiter.gen_arbiter.index_nodes)\n"); + } + if ((0x20ULL & vlSelfRef.__VactTriggered.word(0U))) { + VL_DBG_MSGF(" 'act' region trigger index 5 is active: @([hybrid] fpnew_top.gen_operation_groups[2].i_opgroup_block.i_arbiter.gen_arbiter.index_nodes)\n"); + } + if ((0x40ULL & vlSelfRef.__VactTriggered.word(0U))) { + VL_DBG_MSGF(" 'act' region trigger index 6 is active: @([hybrid] fpnew_top.gen_operation_groups[3].i_opgroup_block.i_arbiter.gen_arbiter.index_nodes)\n"); + } + if ((0x80ULL & vlSelfRef.__VactTriggered.word(0U))) { + VL_DBG_MSGF(" 'act' region trigger index 7 is active: @(posedge clk_i)\n"); + } + if ((0x100ULL & vlSelfRef.__VactTriggered.word(0U))) { + VL_DBG_MSGF(" 'act' region trigger index 8 is active: @(negedge rst_ni)\n"); + } +} +#endif // VL_DEBUG + +#ifdef VL_DEBUG +VL_ATTR_COLD void Vfpnew_top___024root___dump_triggers__nba(Vfpnew_top___024root* vlSelf) { + VL_DEBUG_IF(VL_DBG_MSGF("+ Vfpnew_top___024root___dump_triggers__nba\n"); ); + Vfpnew_top__Syms* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp; + auto& vlSelfRef = std::ref(*vlSelf).get(); + // Body + if ((1U & (~ vlSelfRef.__VnbaTriggered.any()))) { + VL_DBG_MSGF(" No triggers active\n"); + } + if ((1ULL & vlSelfRef.__VnbaTriggered.word(0U))) { + VL_DBG_MSGF(" 'nba' region trigger index 0 is active: @([hybrid] fpnew_top.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.lane_instance.i_fma.inp_pipe_ready[1])\n"); + } + if ((2ULL & vlSelfRef.__VnbaTriggered.word(0U))) { + VL_DBG_MSGF(" 'nba' region trigger index 1 is active: @([hybrid] fpnew_top.i_arbiter.gen_arbiter.index_nodes)\n"); + } + if ((4ULL & vlSelfRef.__VnbaTriggered.word(0U))) { + VL_DBG_MSGF(" 'nba' region trigger index 2 is active: @([hybrid] fpnew_top.gen_operation_groups[0].i_opgroup_block.i_arbiter.gen_arbiter.index_nodes)\n"); + } + if ((8ULL & vlSelfRef.__VnbaTriggered.word(0U))) { + VL_DBG_MSGF(" 'nba' region trigger index 3 is active: @([hybrid] fpnew_top.gen_operation_groups[0].i_opgroup_block.gen_parallel_slices[2].active_format.i_fmt_slice.gen_num_lanes[0].active_lane.lane_instance.i_fma.regular_status)\n"); + } + if ((0x10ULL & vlSelfRef.__VnbaTriggered.word(0U))) { + VL_DBG_MSGF(" 'nba' region trigger index 4 is active: @([hybrid] fpnew_top.gen_operation_groups[1].i_opgroup_block.i_arbiter.gen_arbiter.index_nodes)\n"); + } + if ((0x20ULL & vlSelfRef.__VnbaTriggered.word(0U))) { + VL_DBG_MSGF(" 'nba' region trigger index 5 is active: @([hybrid] fpnew_top.gen_operation_groups[2].i_opgroup_block.i_arbiter.gen_arbiter.index_nodes)\n"); + } + if ((0x40ULL & vlSelfRef.__VnbaTriggered.word(0U))) { + VL_DBG_MSGF(" 'nba' region trigger index 6 is active: @([hybrid] fpnew_top.gen_operation_groups[3].i_opgroup_block.i_arbiter.gen_arbiter.index_nodes)\n"); + } + if ((0x80ULL & vlSelfRef.__VnbaTriggered.word(0U))) { + VL_DBG_MSGF(" 'nba' region trigger index 7 is active: @(posedge clk_i)\n"); + } + if ((0x100ULL & vlSelfRef.__VnbaTriggered.word(0U))) { + VL_DBG_MSGF(" 'nba' region trigger index 8 is active: @(negedge rst_ni)\n"); + } +} +#endif // VL_DEBUG + +VL_ATTR_COLD void Vfpnew_top___024root____Vm_traceActivitySetAll(Vfpnew_top___024root* vlSelf) { + VL_DEBUG_IF(VL_DBG_MSGF("+ Vfpnew_top___024root____Vm_traceActivitySetAll\n"); ); + Vfpnew_top__Syms* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp; + auto& vlSelfRef = std::ref(*vlSelf).get(); + // Body + vlSelfRef.__Vm_traceActivity[0U] = 1U; + vlSelfRef.__Vm_traceActivity[1U] = 1U; + vlSelfRef.__Vm_traceActivity[2U] = 1U; +} + +VL_ATTR_COLD void Vfpnew_top___024root___ctor_var_reset(Vfpnew_top___024root* vlSelf) { + VL_DEBUG_IF(VL_DBG_MSGF("+ Vfpnew_top___024root___ctor_var_reset\n"); ); + Vfpnew_top__Syms* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp; + auto& vlSelfRef = std::ref(*vlSelf).get(); + // Body + vlSelf->clk_i = VL_RAND_RESET_I(1); + vlSelf->rst_ni = VL_RAND_RESET_I(1); + vlSelf->operands_i = VL_RAND_RESET_Q(48); + vlSelf->rnd_mode_i = VL_RAND_RESET_I(3); + vlSelf->op_i = VL_RAND_RESET_I(4); + vlSelf->op_mod_i = VL_RAND_RESET_I(1); + vlSelf->src_fmt_i = VL_RAND_RESET_I(3); + vlSelf->dst_fmt_i = VL_RAND_RESET_I(3); + vlSelf->int_fmt_i = VL_RAND_RESET_I(2); + vlSelf->vectorial_op_i = VL_RAND_RESET_I(1); + vlSelf->tag_i = VL_RAND_RESET_I(1); + vlSelf->simd_mask_i = VL_RAND_RESET_I(1); + vlSelf->in_valid_i = VL_RAND_RESET_I(1); + vlSelf->in_ready_o = VL_RAND_RESET_I(1); + vlSelf->flush_i = VL_RAND_RESET_I(1); + vlSelf->result_o = VL_RAND_RESET_I(16); + vlSelf->status_o = VL_RAND_RESET_I(5); + vlSelf->tag_o = VL_RAND_RESET_I(1); + vlSelf->out_valid_o = VL_RAND_RESET_I(1); + vlSelf->out_ready_i = VL_RAND_RESET_I(1); + vlSelf->busy_o = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__is_boxed = VL_RAND_RESET_I(15); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__in_valid = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__input_boxed = VL_RAND_RESET_I(15); + vlSelf->fpnew_top__DOT____Vcellinp__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__out_ready_i = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT____Vcellout__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__tag_o = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__in_valid = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__input_boxed = VL_RAND_RESET_I(10); + vlSelf->fpnew_top__DOT____Vcellinp__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__out_ready_i = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__in_valid = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__input_boxed = VL_RAND_RESET_I(10); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__in_valid = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__input_boxed = VL_RAND_RESET_I(15); + vlSelf->fpnew_top__DOT____Vcellinp__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__out_ready_i = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes = VL_RAND_RESET_I(6); + vlSelf->fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__data_nodes__BRA__43__03a22__KET__ = VL_RAND_RESET_I(22); + vlSelf->fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gnt_nodes__BRA__1__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__rr_q = VL_RAND_RESET_I(2); + vlSelf->fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__rr_d = VL_RAND_RESET_I(2); + vlSelf->fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_idx = VL_RAND_RESET_I(2); + vlSelf->fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_empty = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__index_nodes__BRA__7__03a6__KET__ = VL_RAND_RESET_I(2); + vlSelf->fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__2__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__index_nodes__BRA__7__03a6__KET__ = VL_RAND_RESET_I(2); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT____Vxrand_h8df6f50b__0 = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT____Vcellout__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__status_o = VL_RAND_RESET_I(5); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes = VL_RAND_RESET_I(21); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__data_nodes__BRA__114__03a92__KET__ = VL_RAND_RESET_I(23); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gnt_nodes__BRA__2__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gnt_nodes__BRA__1__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__rr_q = VL_RAND_RESET_I(3); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__rr_d = VL_RAND_RESET_I(3); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__upper_mask = VL_RAND_RESET_I(5); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_mask = VL_RAND_RESET_I(5); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__upper_idx = VL_RAND_RESET_I(3); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_idx = VL_RAND_RESET_I(3); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_empty = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_levels__BRA__2__KET____DOT__gen_level__BRA__2__KET____DOT__sel = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_levels__BRA__2__KET____DOT__gen_level__BRA__3__KET____DOT__sel = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__7__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__4__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__0__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__index_nodes__BRA__23__03a21__KET__ = VL_RAND_RESET_I(3); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__index_nodes__BRA__5__03a3__KET__ = VL_RAND_RESET_I(3); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp = VL_RAND_RESET_I(5); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__7__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__4__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__index_nodes__BRA__23__03a21__KET__ = VL_RAND_RESET_I(3); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__index_nodes__BRA__5__03a3__KET__ = VL_RAND_RESET_I(3); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp = VL_RAND_RESET_I(5); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__slice_result = VL_RAND_RESET_I(16); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__out_ready = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__local_operands = VL_RAND_RESET_Q(48); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__output_processing__DOT__temp_status = VL_RAND_RESET_I(5); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_operands_q = VL_RAND_RESET_Q(48); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_is_boxed_q = VL_RAND_RESET_I(3); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_rnd_mode_q = VL_RAND_RESET_I(3); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_op_q = VL_RAND_RESET_I(4); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_op_mod_q = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_tag_q = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_mask_q = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_aux_q = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_valid_q = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_ready__BRA__1__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT____Vcellout__i_class_inputs__info_o = VL_RAND_RESET_I(24); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_a = VL_RAND_RESET_I(16); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_b = VL_RAND_RESET_I(16); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__operand_c = VL_RAND_RESET_I(16); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_a = VL_RAND_RESET_I(8); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_b = VL_RAND_RESET_I(8); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__info_c = VL_RAND_RESET_I(8); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__any_operand_inf = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__any_operand_nan = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__effective_subtraction = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__tentative_sign = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__special_result = VL_RAND_RESET_I(16); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__special_status = VL_RAND_RESET_I(5); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__result_is_special = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__exponent_addend = VL_RAND_RESET_I(7); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__product = VL_RAND_RESET_I(22); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__sum_pos = VL_RAND_RESET_Q(38); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_prod_q_w = VL_RAND_RESET_I(7); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_diff_q_w = VL_RAND_RESET_I(7); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_add_shamt_q_w = VL_RAND_RESET_I(6); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_eff_sub_q__BRA__1__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_eff_sub_q__BRA__0__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_prod_q__BRA__13__03a7__KET__ = VL_RAND_RESET_I(7); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_prod_q__BRA__6__03a0__KET__ = VL_RAND_RESET_I(7); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_diff_q__BRA__13__03a7__KET__ = VL_RAND_RESET_I(7); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_exp_diff_q__BRA__6__03a0__KET__ = VL_RAND_RESET_I(7); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_tent_exp_q__BRA__13__03a7__KET__ = VL_RAND_RESET_I(7); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_tent_exp_q__BRA__6__03a0__KET__ = VL_RAND_RESET_I(7); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_add_shamt_q__BRA__11__03a6__KET__ = VL_RAND_RESET_I(6); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_add_shamt_q__BRA__5__03a0__KET__ = VL_RAND_RESET_I(6); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sticky_q__BRA__1__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sticky_q__BRA__0__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__73__03a37__KET__ = VL_RAND_RESET_Q(37); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_sum_q__BRA__36__03a0__KET__ = VL_RAND_RESET_Q(37); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_final_sign_q__BRA__1__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_final_sign_q__BRA__0__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_rnd_mode_q__BRA__5__03a3__KET__ = VL_RAND_RESET_I(3); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_rnd_mode_q__BRA__2__03a0__KET__ = VL_RAND_RESET_I(3); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_res_is_spec_q__BRA__1__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_res_is_spec_q__BRA__0__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_spec_res_q__BRA__31__03a16__KET__ = VL_RAND_RESET_I(16); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_spec_res_q__BRA__15__03a0__KET__ = VL_RAND_RESET_I(16); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_spec_stat_q__BRA__9__03a5__KET__ = VL_RAND_RESET_I(5); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_spec_stat_q__BRA__4__03a0__KET__ = VL_RAND_RESET_I(5); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_tag_q__BRA__1__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_tag_q__BRA__0__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_mask_q__BRA__1__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_mask_q__BRA__0__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_aux_q__BRA__1__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_aux_q__BRA__0__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_valid_q = VL_RAND_RESET_I(2); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_ready__BRA__2__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__mid_pipe_ready__BRA__1__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__leading_zero_count = VL_RAND_RESET_I(5); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__norm_shamt = VL_RAND_RESET_I(6); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__normalized_exponent = VL_RAND_RESET_I(7); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__sum_shifted = VL_RAND_RESET_Q(38); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__final_mantissa = VL_RAND_RESET_I(12); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__sum_sticky_bits = VL_RAND_RESET_I(25); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__final_exponent = VL_RAND_RESET_I(7); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__pre_round_exponent = VL_RAND_RESET_I(5); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__pre_round_abs = VL_RAND_RESET_I(15); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__round_sticky_bits = VL_RAND_RESET_I(2); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__rounded_abs = VL_RAND_RESET_I(15); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__regular_status = VL_RAND_RESET_I(5); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_result_q = VL_RAND_RESET_I(16); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_status_q = VL_RAND_RESET_I(5); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_tag_q = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_mask_q = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_aux_q = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_valid_q = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__out_pipe_ready__BRA__1__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__gen_input_pipeline__BRA__0__KET____DOT__reg_ena = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__gen_inside_pipeline__BRA__0__KET____DOT__reg_ena = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__gen_inside_pipeline__BRA__1__KET____DOT__reg_ena = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__gen_output_pipeline__BRA__0__KET____DOT__reg_ena = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT____VdfgRegularize_h2c6913e2_2_2 = VL_RAND_RESET_Q(48); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__value = VL_RAND_RESET_I(16); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_boxed = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_normal = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_inf = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_nan = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_signalling = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_quiet = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_zero = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__0__KET____DOT__is_subnormal = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__value = VL_RAND_RESET_I(16); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_boxed = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_normal = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_inf = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_nan = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_signalling = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_quiet = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_zero = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__1__KET____DOT__is_subnormal = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__value = VL_RAND_RESET_I(16); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_boxed = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_normal = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_inf = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_nan = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_signalling = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_quiet = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_zero = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_class_inputs__DOT__gen_num_values__BRA__2__KET____DOT__is_subnormal = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__31__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__26__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__25__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__24__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__23__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__22__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__21__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__20__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__19__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__18__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__17__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__16__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__15__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__12__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__11__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__10__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__9__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__8__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__7__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__5__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__4__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__2__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__sel_nodes__BRA__0__KET__ = VL_RAND_RESET_I(1); + VL_RAND_RESET_W(160, vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__index_nodes__BRA__159__03a155__KET__ = VL_RAND_RESET_I(5); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__i_lzc__DOT__gen_lzc__DOT__in_tmp = VL_RAND_RESET_I(25); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT____Vxrand_h8df6f50b__0 = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes = VL_RAND_RESET_I(21); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__rr_q = VL_RAND_RESET_I(3); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__rr_d = VL_RAND_RESET_I(3); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__upper_idx = VL_RAND_RESET_I(3); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_idx = VL_RAND_RESET_I(3); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_empty = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_levels__BRA__2__KET____DOT__gen_level__BRA__2__KET____DOT__sel = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_levels__BRA__2__KET____DOT__gen_level__BRA__3__KET____DOT__sel = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__7__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__4__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__0__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__index_nodes__BRA__23__03a21__KET__ = VL_RAND_RESET_I(3); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__index_nodes__BRA__5__03a3__KET__ = VL_RAND_RESET_I(3); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp = VL_RAND_RESET_I(5); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__7__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__4__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__index_nodes__BRA__23__03a21__KET__ = VL_RAND_RESET_I(3); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__index_nodes__BRA__5__03a3__KET__ = VL_RAND_RESET_I(3); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp = VL_RAND_RESET_I(5); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT____Vxrand_h8df6f50b__0 = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes = VL_RAND_RESET_I(21); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__rr_q = VL_RAND_RESET_I(3); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__rr_d = VL_RAND_RESET_I(3); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__upper_idx = VL_RAND_RESET_I(3); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_idx = VL_RAND_RESET_I(3); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_empty = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_levels__BRA__2__KET____DOT__gen_level__BRA__2__KET____DOT__sel = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_levels__BRA__2__KET____DOT__gen_level__BRA__3__KET____DOT__sel = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__7__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__4__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__0__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__index_nodes__BRA__23__03a21__KET__ = VL_RAND_RESET_I(3); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__index_nodes__BRA__5__03a3__KET__ = VL_RAND_RESET_I(3); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp = VL_RAND_RESET_I(5); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__7__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__4__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__index_nodes__BRA__23__03a21__KET__ = VL_RAND_RESET_I(3); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__index_nodes__BRA__5__03a3__KET__ = VL_RAND_RESET_I(3); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp = VL_RAND_RESET_I(5); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT____Vxrand_h8df6f50b__0 = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes = VL_RAND_RESET_I(21); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__rr_q = VL_RAND_RESET_I(3); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__rr_d = VL_RAND_RESET_I(3); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__upper_idx = VL_RAND_RESET_I(3); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_idx = VL_RAND_RESET_I(3); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__lower_empty = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_levels__BRA__2__KET____DOT__gen_level__BRA__2__KET____DOT__sel = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_levels__BRA__2__KET____DOT__gen_level__BRA__3__KET____DOT__sel = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__7__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__4__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__sel_nodes__BRA__0__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__index_nodes__BRA__23__03a21__KET__ = VL_RAND_RESET_I(3); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__index_nodes__BRA__5__03a3__KET__ = VL_RAND_RESET_I(3); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_upper__DOT__gen_lzc__DOT__in_tmp = VL_RAND_RESET_I(5); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__7__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__4__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__3__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__sel_nodes__BRA__1__KET__ = VL_RAND_RESET_I(1); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__index_nodes__BRA__23__03a21__KET__ = VL_RAND_RESET_I(3); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__index_nodes__BRA__5__03a3__KET__ = VL_RAND_RESET_I(3); + vlSelf->fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__gen_int_rr__DOT__gen_fair_arb__DOT__i_lzc_lower__DOT__gen_lzc__DOT__in_tmp = VL_RAND_RESET_I(5); + vlSelf->__Vfunc_get_opgroup__0__Vfuncout = VL_RAND_RESET_I(2); + vlSelf->__Vfunc_get_opgroup__0__op = VL_RAND_RESET_I(4); + vlSelf->__Vfunc_get_opgroup__1__Vfuncout = VL_RAND_RESET_I(2); + vlSelf->__Vfunc_get_opgroup__1__op = VL_RAND_RESET_I(4); + vlSelf->__Vfunc_get_opgroup__2__Vfuncout = VL_RAND_RESET_I(2); + vlSelf->__Vfunc_get_opgroup__2__op = VL_RAND_RESET_I(4); + vlSelf->__Vfunc_get_opgroup__3__Vfuncout = VL_RAND_RESET_I(2); + vlSelf->__Vfunc_get_opgroup__3__op = VL_RAND_RESET_I(4); + vlSelf->__Vfunc_get_opgroup__4__Vfuncout = VL_RAND_RESET_I(2); + vlSelf->__Vfunc_get_opgroup__4__op = VL_RAND_RESET_I(4); + vlSelf->__Vtrigprevexpr___TOP__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_ready__BRA__1__KET____0 = VL_RAND_RESET_I(1); + vlSelf->__Vtrigprevexpr___TOP__fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes__0 = VL_RAND_RESET_I(6); + vlSelf->__Vtrigprevexpr___TOP__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes__0 = VL_RAND_RESET_I(21); + vlSelf->__Vtrigprevexpr___TOP__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__regular_status__0 = VL_RAND_RESET_I(5); + vlSelf->__Vtrigprevexpr___TOP__fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes__0 = VL_RAND_RESET_I(21); + vlSelf->__Vtrigprevexpr___TOP__fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes__0 = VL_RAND_RESET_I(21); + vlSelf->__Vtrigprevexpr___TOP__fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes__0 = VL_RAND_RESET_I(21); + vlSelf->__VstlDidInit = 0; + vlSelf->__Vtrigprevexpr___TOP__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_ready__BRA__1__KET____1 = VL_RAND_RESET_I(1); + vlSelf->__Vtrigprevexpr___TOP__fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes__1 = VL_RAND_RESET_I(6); + vlSelf->__Vtrigprevexpr___TOP__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes__1 = VL_RAND_RESET_I(21); + vlSelf->__Vtrigprevexpr___TOP__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__regular_status__1 = VL_RAND_RESET_I(5); + vlSelf->__Vtrigprevexpr___TOP__fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes__1 = VL_RAND_RESET_I(21); + vlSelf->__Vtrigprevexpr___TOP__fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes__1 = VL_RAND_RESET_I(21); + vlSelf->__Vtrigprevexpr___TOP__fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes__1 = VL_RAND_RESET_I(21); + vlSelf->__Vtrigprevexpr___TOP__clk_i__0 = VL_RAND_RESET_I(1); + vlSelf->__Vtrigprevexpr___TOP__rst_ni__0 = VL_RAND_RESET_I(1); + vlSelf->__VactDidInit = 0; + for (int __Vi0 = 0; __Vi0 < 3; ++__Vi0) { + vlSelf->__Vm_traceActivity[__Vi0] = 0; + } +} diff --git a/obj_dir/Vfpnew_top___024root__DepSet_he2c53f50__0.cpp b/obj_dir/Vfpnew_top___024root__DepSet_he2c53f50__0.cpp new file mode 100644 index 0000000..812bc53 --- /dev/null +++ b/obj_dir/Vfpnew_top___024root__DepSet_he2c53f50__0.cpp @@ -0,0 +1,84 @@ +// Verilated -*- C++ -*- +// DESCRIPTION: Verilator output: Design implementation internals +// See Vfpnew_top.h for the primary calling header + +#include "Vfpnew_top__pch.h" +#include "Vfpnew_top__Syms.h" +#include "Vfpnew_top___024root.h" + +#ifdef VL_DEBUG +VL_ATTR_COLD void Vfpnew_top___024root___dump_triggers__ico(Vfpnew_top___024root* vlSelf); +#endif // VL_DEBUG + +void Vfpnew_top___024root___eval_triggers__ico(Vfpnew_top___024root* vlSelf) { + VL_DEBUG_IF(VL_DBG_MSGF("+ Vfpnew_top___024root___eval_triggers__ico\n"); ); + Vfpnew_top__Syms* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp; + auto& vlSelfRef = std::ref(*vlSelf).get(); + // Body + vlSelfRef.__VicoTriggered.setBit(0U, (IData)(vlSelfRef.__VicoFirstIteration)); +#ifdef VL_DEBUG + if (VL_UNLIKELY(vlSymsp->_vm_contextp__->debug())) { + Vfpnew_top___024root___dump_triggers__ico(vlSelf); + } +#endif +} + +#ifdef VL_DEBUG +VL_ATTR_COLD void Vfpnew_top___024root___dump_triggers__act(Vfpnew_top___024root* vlSelf); +#endif // VL_DEBUG + +void Vfpnew_top___024root___eval_triggers__act(Vfpnew_top___024root* vlSelf) { + VL_DEBUG_IF(VL_DBG_MSGF("+ Vfpnew_top___024root___eval_triggers__act\n"); ); + Vfpnew_top__Syms* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp; + auto& vlSelfRef = std::ref(*vlSelf).get(); + // Body + vlSelfRef.__VactTriggered.setBit(0U, ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_ready__BRA__1__KET__) + != (IData)(vlSelfRef.__Vtrigprevexpr___TOP__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_ready__BRA__1__KET____1))); + vlSelfRef.__VactTriggered.setBit(1U, ((IData)(vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes) + != (IData)(vlSelfRef.__Vtrigprevexpr___TOP__fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes__1))); + vlSelfRef.__VactTriggered.setBit(2U, (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes + != vlSelfRef.__Vtrigprevexpr___TOP__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes__1)); + vlSelfRef.__VactTriggered.setBit(3U, ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__regular_status) + != (IData)(vlSelfRef.__Vtrigprevexpr___TOP__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__regular_status__1))); + vlSelfRef.__VactTriggered.setBit(4U, (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes + != vlSelfRef.__Vtrigprevexpr___TOP__fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes__1)); + vlSelfRef.__VactTriggered.setBit(5U, (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes + != vlSelfRef.__Vtrigprevexpr___TOP__fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes__1)); + vlSelfRef.__VactTriggered.setBit(6U, (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes + != vlSelfRef.__Vtrigprevexpr___TOP__fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes__1)); + vlSelfRef.__VactTriggered.setBit(7U, ((IData)(vlSelfRef.clk_i) + & (~ (IData)(vlSelfRef.__Vtrigprevexpr___TOP__clk_i__0)))); + vlSelfRef.__VactTriggered.setBit(8U, ((~ (IData)(vlSelfRef.rst_ni)) + & (IData)(vlSelfRef.__Vtrigprevexpr___TOP__rst_ni__0))); + vlSelfRef.__Vtrigprevexpr___TOP__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_ready__BRA__1__KET____1 + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_ready__BRA__1__KET__; + vlSelfRef.__Vtrigprevexpr___TOP__fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes__1 + = vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes; + vlSelfRef.__Vtrigprevexpr___TOP__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes__1 + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes; + vlSelfRef.__Vtrigprevexpr___TOP__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__regular_status__1 + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__regular_status; + vlSelfRef.__Vtrigprevexpr___TOP__fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes__1 + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes; + vlSelfRef.__Vtrigprevexpr___TOP__fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes__1 + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes; + vlSelfRef.__Vtrigprevexpr___TOP__fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes__1 + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes; + vlSelfRef.__Vtrigprevexpr___TOP__clk_i__0 = vlSelfRef.clk_i; + vlSelfRef.__Vtrigprevexpr___TOP__rst_ni__0 = vlSelfRef.rst_ni; + if (VL_UNLIKELY(((1U & (~ (IData)(vlSelfRef.__VactDidInit)))))) { + vlSelfRef.__VactDidInit = 1U; + vlSelfRef.__VactTriggered.setBit(0U, 1U); + vlSelfRef.__VactTriggered.setBit(1U, 1U); + vlSelfRef.__VactTriggered.setBit(2U, 1U); + vlSelfRef.__VactTriggered.setBit(3U, 1U); + vlSelfRef.__VactTriggered.setBit(4U, 1U); + vlSelfRef.__VactTriggered.setBit(5U, 1U); + vlSelfRef.__VactTriggered.setBit(6U, 1U); + } +#ifdef VL_DEBUG + if (VL_UNLIKELY(vlSymsp->_vm_contextp__->debug())) { + Vfpnew_top___024root___dump_triggers__act(vlSelf); + } +#endif +} diff --git a/obj_dir/Vfpnew_top___024root__DepSet_he2c53f50__0__Slow.cpp b/obj_dir/Vfpnew_top___024root__DepSet_he2c53f50__0__Slow.cpp new file mode 100644 index 0000000..d846f81 --- /dev/null +++ b/obj_dir/Vfpnew_top___024root__DepSet_he2c53f50__0__Slow.cpp @@ -0,0 +1,62 @@ +// Verilated -*- C++ -*- +// DESCRIPTION: Verilator output: Design implementation internals +// See Vfpnew_top.h for the primary calling header + +#include "Vfpnew_top__pch.h" +#include "Vfpnew_top__Syms.h" +#include "Vfpnew_top___024root.h" + +#ifdef VL_DEBUG +VL_ATTR_COLD void Vfpnew_top___024root___dump_triggers__stl(Vfpnew_top___024root* vlSelf); +#endif // VL_DEBUG + +VL_ATTR_COLD void Vfpnew_top___024root___eval_triggers__stl(Vfpnew_top___024root* vlSelf) { + VL_DEBUG_IF(VL_DBG_MSGF("+ Vfpnew_top___024root___eval_triggers__stl\n"); ); + Vfpnew_top__Syms* const __restrict vlSymsp VL_ATTR_UNUSED = vlSelf->vlSymsp; + auto& vlSelfRef = std::ref(*vlSelf).get(); + // Body + vlSelfRef.__VstlTriggered.setBit(0U, (IData)(vlSelfRef.__VstlFirstIteration)); + vlSelfRef.__VstlTriggered.setBit(1U, ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_ready__BRA__1__KET__) + != (IData)(vlSelfRef.__Vtrigprevexpr___TOP__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_ready__BRA__1__KET____0))); + vlSelfRef.__VstlTriggered.setBit(2U, ((IData)(vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes) + != (IData)(vlSelfRef.__Vtrigprevexpr___TOP__fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes__0))); + vlSelfRef.__VstlTriggered.setBit(3U, (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes + != vlSelfRef.__Vtrigprevexpr___TOP__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes__0)); + vlSelfRef.__VstlTriggered.setBit(4U, ((IData)(vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__regular_status) + != (IData)(vlSelfRef.__Vtrigprevexpr___TOP__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__regular_status__0))); + vlSelfRef.__VstlTriggered.setBit(5U, (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes + != vlSelfRef.__Vtrigprevexpr___TOP__fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes__0)); + vlSelfRef.__VstlTriggered.setBit(6U, (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes + != vlSelfRef.__Vtrigprevexpr___TOP__fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes__0)); + vlSelfRef.__VstlTriggered.setBit(7U, (vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes + != vlSelfRef.__Vtrigprevexpr___TOP__fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes__0)); + vlSelfRef.__Vtrigprevexpr___TOP__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_ready__BRA__1__KET____0 + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__inp_pipe_ready__BRA__1__KET__; + vlSelfRef.__Vtrigprevexpr___TOP__fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes__0 + = vlSelfRef.fpnew_top__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes; + vlSelfRef.__Vtrigprevexpr___TOP__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes__0 + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes; + vlSelfRef.__Vtrigprevexpr___TOP__fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__regular_status__0 + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__0__KET____DOT__i_opgroup_block__DOT__gen_parallel_slices__BRA__2__KET____DOT__active_format__DOT__i_fmt_slice__DOT__gen_num_lanes__BRA__0__KET____DOT__active_lane__DOT__lane_instance__DOT__i_fma__DOT__regular_status; + vlSelfRef.__Vtrigprevexpr___TOP__fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes__0 + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__1__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes; + vlSelfRef.__Vtrigprevexpr___TOP__fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes__0 + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__2__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes; + vlSelfRef.__Vtrigprevexpr___TOP__fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes__0 + = vlSelfRef.fpnew_top__DOT__gen_operation_groups__BRA__3__KET____DOT__i_opgroup_block__DOT__i_arbiter__DOT__gen_arbiter__DOT__index_nodes; + if (VL_UNLIKELY(((1U & (~ (IData)(vlSelfRef.__VstlDidInit)))))) { + vlSelfRef.__VstlDidInit = 1U; + vlSelfRef.__VstlTriggered.setBit(1U, 1U); + vlSelfRef.__VstlTriggered.setBit(2U, 1U); + vlSelfRef.__VstlTriggered.setBit(3U, 1U); + vlSelfRef.__VstlTriggered.setBit(4U, 1U); + vlSelfRef.__VstlTriggered.setBit(5U, 1U); + vlSelfRef.__VstlTriggered.setBit(6U, 1U); + vlSelfRef.__VstlTriggered.setBit(7U, 1U); + } +#ifdef VL_DEBUG + if (VL_UNLIKELY(vlSymsp->_vm_contextp__->debug())) { + Vfpnew_top___024root___dump_triggers__stl(vlSelf); + } +#endif +} diff --git a/obj_dir/Vfpnew_top___024root__Slow.cpp b/obj_dir/Vfpnew_top___024root__Slow.cpp new file mode 100644 index 0000000..732686d --- /dev/null +++ b/obj_dir/Vfpnew_top___024root__Slow.cpp @@ -0,0 +1,24 @@ +// Verilated -*- C++ -*- +// DESCRIPTION: Verilator output: Design implementation internals +// See Vfpnew_top.h for the primary calling header + +#include "Vfpnew_top__pch.h" +#include "Vfpnew_top__Syms.h" +#include "Vfpnew_top___024root.h" + +void Vfpnew_top___024root___ctor_var_reset(Vfpnew_top___024root* vlSelf); + +Vfpnew_top___024root::Vfpnew_top___024root(Vfpnew_top__Syms* symsp, const char* v__name) + : VerilatedModule{v__name} + , vlSymsp{symsp} + { + // Reset structure values + Vfpnew_top___024root___ctor_var_reset(this); +} + +void Vfpnew_top___024root::__Vconfigure(bool first) { + (void)first; // Prevent unused variable warning +} + +Vfpnew_top___024root::~Vfpnew_top___024root() { +} diff --git a/obj_dir/Vfpnew_top__pch.h b/obj_dir/Vfpnew_top__pch.h new file mode 100644 index 0000000..e7012ff --- /dev/null +++ b/obj_dir/Vfpnew_top__pch.h @@ -0,0 +1,28 @@ +// Verilated -*- C++ -*- +// DESCRIPTION: Verilator output: Precompiled header +// +// Internal details; most user sources do not need this header, +// unless using verilator public meta comments. +// Suggest use Vfpnew_top.h instead. + + +#ifndef VERILATED_VFPNEW_TOP__PCH_H_ +#define VERILATED_VFPNEW_TOP__PCH_H_ // guard + +// GCC and Clang only will precompile headers (PCH) for the first header. +// So, make sure this is the one and only PCH. +// If multiple module's includes are needed, use individual includes. +#ifdef VL_PCH_INCLUDED +# error "Including multiple precompiled header files" +#endif +#define VL_PCH_INCLUDED + + +#include "verilated.h" + +#include "Vfpnew_top__Syms.h" +#include "Vfpnew_top.h" + +// Additional include files added using '--compiler-include' + +#endif // guard diff --git a/obj_dir/Vfpnew_top__ver.d b/obj_dir/Vfpnew_top__ver.d new file mode 100644 index 0000000..d782b6e --- /dev/null +++ b/obj_dir/Vfpnew_top__ver.d @@ -0,0 +1 @@ +obj_dir/Vfpnew_top.cpp obj_dir/Vfpnew_top.h obj_dir/Vfpnew_top.mk obj_dir/Vfpnew_top__ConstPool_0.cpp obj_dir/Vfpnew_top__Syms.cpp obj_dir/Vfpnew_top__Syms.h obj_dir/Vfpnew_top__TraceDecls__0__Slow.cpp obj_dir/Vfpnew_top__Trace__0.cpp obj_dir/Vfpnew_top__Trace__0__Slow.cpp obj_dir/Vfpnew_top___024root.h obj_dir/Vfpnew_top___024root__DepSet_h067b37ca__0.cpp obj_dir/Vfpnew_top___024root__DepSet_h067b37ca__0__Slow.cpp obj_dir/Vfpnew_top___024root__DepSet_he2c53f50__0.cpp obj_dir/Vfpnew_top___024root__DepSet_he2c53f50__0__Slow.cpp obj_dir/Vfpnew_top___024root__Slow.cpp obj_dir/Vfpnew_top__pch.h obj_dir/Vfpnew_top__ver.d obj_dir/Vfpnew_top_classes.mk : /usr/local/bin/verilator_bin /usr/local/bin/verilator_bin /usr/local/share/verilator/include/verilated_std.sv /usr/local/share/verilator/include/verilated_std_waiver.vlt src/common_cells/include/common_cells/registers.svh src/common_cells/src/cf_math_pkg.sv src/common_cells/src/lzc.sv src/common_cells/src/rr_arb_tree.sv src/fpnew_cast_multi.sv src/fpnew_classifier.sv src/fpnew_divsqrt_multi.sv src/fpnew_divsqrt_th_32.sv src/fpnew_divsqrt_th_64_multi.sv src/fpnew_fma.sv src/fpnew_fma_multi.sv src/fpnew_noncomp.sv src/fpnew_opgroup_block.sv src/fpnew_opgroup_fmt_slice.sv src/fpnew_opgroup_multifmt_slice.sv src/fpnew_pkg.sv src/fpnew_rounding.sv src/fpnew_top.sv diff --git a/obj_dir/Vfpnew_top__verFiles.dat b/obj_dir/Vfpnew_top__verFiles.dat new file mode 100644 index 0000000..ecbb4fd --- /dev/null +++ b/obj_dir/Vfpnew_top__verFiles.dat @@ -0,0 +1,42 @@ +# DESCRIPTION: Verilator output: Timestamp data for --skip-identical. Delete at will. +C "-Wno-fatal --trace --x-assign unique --x-initial unique -cc src/common_cells/src/cf_math_pkg.sv src/common_cells/src/lzc.sv src/common_cells/src/rr_arb_tree.sv src/fpnew_pkg.sv src/fpnew_cast_multi.sv src/fpnew_classifier.sv src/fpnew_divsqrt_multi.sv src/fpnew_divsqrt_th_32.sv src/fpnew_divsqrt_th_64_multi.sv src/fpnew_fma.sv src/fpnew_fma_multi.sv src/fpnew_noncomp.sv src/fpnew_opgroup_block.sv src/fpnew_opgroup_fmt_slice.sv src/fpnew_opgroup_multifmt_slice.sv src/fpnew_pkg.sv src/fpnew_rounding.sv src/fpnew_top.sv --exe csrc/tb_fpnew.cpp -I./src/common_cells/include --top-module fpnew_top" +S 16288384 2097677 1743402226 946573963 1743402226 946573963 "/usr/local/bin/verilator_bin" +S 6525 2102783 1743402227 248568135 1743402227 248568135 "/usr/local/share/verilator/include/verilated_std.sv" +S 2787 2102761 1743402227 245568192 1743402227 245568192 "/usr/local/share/verilator/include/verilated_std_waiver.vlt" +T 6076 795528 1743507624 203310254 1743507624 203310254 "obj_dir/Vfpnew_top.cpp" +T 4147 795418 1743507624 203310254 1743507624 203310254 "obj_dir/Vfpnew_top.h" +T 1998 795935 1743507624 245309398 1743507624 245309398 "obj_dir/Vfpnew_top.mk" +T 1428 795151 1743507624 203310254 1743507624 203310254 "obj_dir/Vfpnew_top__ConstPool_0.cpp" +T 848 795048 1743507624 202310274 1743507624 202310274 "obj_dir/Vfpnew_top__Syms.cpp" +T 1146 795069 1743507624 202310274 1743507624 202310274 "obj_dir/Vfpnew_top__Syms.h" +T 308 795848 1743507624 239309520 1743507624 239309520 "obj_dir/Vfpnew_top__TraceDecls__0__Slow.cpp" +T 181581 795868 1743507624 245309398 1743507624 245309398 "obj_dir/Vfpnew_top__Trace__0.cpp" +T 368155 795788 1743507624 239309520 1743507624 239309520 "obj_dir/Vfpnew_top__Trace__0__Slow.cpp" +T 73506 795586 1743507624 205310213 1743507624 205310213 "obj_dir/Vfpnew_top___024root.h" +T 471157 795758 1743507624 229309724 1743507624 229309724 "obj_dir/Vfpnew_top___024root__DepSet_h067b37ca__0.cpp" +T 428705 795678 1743507624 218309948 1743507624 218309948 "obj_dir/Vfpnew_top___024root__DepSet_h067b37ca__0__Slow.cpp" +T 8258 795753 1743507624 219309928 1743507624 219309928 "obj_dir/Vfpnew_top___024root__DepSet_he2c53f50__0.cpp" +T 7218 795674 1743507624 206310192 1743507624 206310192 "obj_dir/Vfpnew_top___024root__DepSet_he2c53f50__0__Slow.cpp" +T 698 795596 1743507624 205310213 1743507624 205310213 "obj_dir/Vfpnew_top___024root__Slow.cpp" +T 776 795549 1743507624 203310254 1743507624 203310254 "obj_dir/Vfpnew_top__pch.h" +T 1326 795941 1743507624 246309377 1743507624 246309377 "obj_dir/Vfpnew_top__ver.d" +T 0 0 1743507624 246309377 1743507624 246309377 "obj_dir/Vfpnew_top__verFiles.dat" +T 1898 795900 1743507624 245309398 1743507624 245309398 "obj_dir/Vfpnew_top_classes.mk" +S 9339 800359 1743131242 148255359 1743131242 148255359 "src/common_cells/include/common_cells/registers.svh" +S 2733 800371 1743131242 150255269 1743131242 150255269 "src/common_cells/src/cf_math_pkg.sv" +S 4676 800405 1743131242 153255134 1743131242 153255134 "src/common_cells/src/lzc.sv" +S 14945 800411 1743131242 153255134 1743131242 153255134 "src/common_cells/src/rr_arb_tree.sv" +S 40923 796471 1743276363 625317629 1743276363 625317629 "src/fpnew_cast_multi.sv" +S 2964 796472 1743276382 974253943 1743276382 974253943 "src/fpnew_classifier.sv" +S 18238 796473 1743413452 916539659 1743413452 916539659 "src/fpnew_divsqrt_multi.sv" +S 23356 796474 1743413460 986341446 1743413460 986341446 "src/fpnew_divsqrt_th_32.sv" +S 23701 796475 1743413467 36193102 1743413467 36193102 "src/fpnew_divsqrt_th_64_multi.sv" +S 41707 796476 1743507610 642586924 1743507610 642586924 "src/fpnew_fma.sv" +S 47215 796477 1743413472 832051194 1743413472 832051194 "src/fpnew_fma_multi.sv" +S 19166 796478 1743276427 342898135 1743276427 342898135 "src/fpnew_noncomp.sv" +S 10343 796479 1743276431 28707423 1743276431 28707423 "src/fpnew_opgroup_block.sv" +S 13614 796480 1743276435 737464870 1743276435 737464870 "src/fpnew_opgroup_fmt_slice.sv" +S 27044 800941 1743276443 392073115 1743276443 392073115 "src/fpnew_opgroup_multifmt_slice.sv" +S 19638 800942 1743488847 216386265 1743488847 216386265 "src/fpnew_pkg.sv" +S 3748 800943 1743276450 258724345 1743276450 258724345 "src/fpnew_rounding.sv" +S 7771 800944 1743411600 262471790 1743411600 262471790 "src/fpnew_top.sv" diff --git a/obj_dir/Vfpnew_top_classes.mk b/obj_dir/Vfpnew_top_classes.mk new file mode 100644 index 0000000..d529a65 --- /dev/null +++ b/obj_dir/Vfpnew_top_classes.mk @@ -0,0 +1,59 @@ +# Verilated -*- Makefile -*- +# DESCRIPTION: Verilator output: Make include file with class lists +# +# This file lists generated Verilated files, for including in higher level makefiles. +# See Vfpnew_top.mk for the caller. + +### Switches... +# C11 constructs required? 0/1 (always on now) +VM_C11 = 1 +# Timing enabled? 0/1 +VM_TIMING = 0 +# Coverage output mode? 0/1 (from --coverage) +VM_COVERAGE = 0 +# Parallel builds? 0/1 (from --output-split) +VM_PARALLEL_BUILDS = 0 +# Tracing output mode? 0/1 (from --trace/--trace-fst/--trace-saif) +VM_TRACE = 1 +# Tracing output mode in VCD format? 0/1 (from --trace) +VM_TRACE_VCD = 1 +# Tracing output mode in FST format? 0/1 (from --trace-fst) +VM_TRACE_FST = 0 +# Tracing output mode in SAIF format? 0/1 (from --trace-saif) +VM_TRACE_SAIF = 0 + +### Object file lists... +# Generated module classes, fast-path, compile with highest optimization +VM_CLASSES_FAST += \ + Vfpnew_top \ + Vfpnew_top___024root__DepSet_he2c53f50__0 \ + Vfpnew_top___024root__DepSet_h067b37ca__0 \ + +# Generated module classes, non-fast-path, compile with low/medium optimization +VM_CLASSES_SLOW += \ + Vfpnew_top__ConstPool_0 \ + Vfpnew_top___024root__Slow \ + Vfpnew_top___024root__DepSet_he2c53f50__0__Slow \ + Vfpnew_top___024root__DepSet_h067b37ca__0__Slow \ + +# Generated support classes, fast-path, compile with highest optimization +VM_SUPPORT_FAST += \ + Vfpnew_top__Trace__0 \ + +# Generated support classes, non-fast-path, compile with low/medium optimization +VM_SUPPORT_SLOW += \ + Vfpnew_top__Syms \ + Vfpnew_top__Trace__0__Slow \ + Vfpnew_top__TraceDecls__0__Slow \ + +# Global classes, need linked once per executable, fast-path, compile with highest optimization +VM_GLOBAL_FAST += \ + verilated \ + verilated_vcd_c \ + verilated_threads \ + +# Global classes, need linked once per executable, non-fast-path, compile with low/medium optimization +VM_GLOBAL_SLOW += \ + + +# Verilated -*- Makefile -*- diff --git a/obj_dir/tb_fpnew.d b/obj_dir/tb_fpnew.d new file mode 100644 index 0000000..db9f909 --- /dev/null +++ b/obj_dir/tb_fpnew.d @@ -0,0 +1,9 @@ +tb_fpnew.o: ../csrc/tb_fpnew.cpp \ + /usr/local/share/verilator/include/verilated.h \ + /usr/local/share/verilator/include/verilatedos.h \ + /usr/local/share/verilator/include/verilated_config.h \ + /usr/local/share/verilator/include/verilated_types.h \ + /usr/local/share/verilator/include/verilated_funcs.h \ + /usr/local/share/verilator/include/verilated_vcd_c.h \ + /usr/local/share/verilator/include/verilated.h \ + /usr/local/share/verilator/include/verilated_trace.h Vfpnew_top.h diff --git a/obj_dir/tb_fpnew.o b/obj_dir/tb_fpnew.o new file mode 100644 index 0000000..2702534 Binary files /dev/null and b/obj_dir/tb_fpnew.o differ diff --git a/obj_dir/verilated.d b/obj_dir/verilated.d new file mode 100644 index 0000000..33b2098 --- /dev/null +++ b/obj_dir/verilated.d @@ -0,0 +1,12 @@ +verilated.o: /usr/local/share/verilator/include/verilated.cpp \ + /usr/local/share/verilator/include/verilated_config.h \ + /usr/local/share/verilator/include/verilatedos.h \ + /usr/local/share/verilator/include/verilated_imp.h \ + /usr/local/share/verilator/include/verilated.h \ + /usr/local/share/verilator/include/verilated_types.h \ + /usr/local/share/verilator/include/verilated_funcs.h \ + /usr/local/share/verilator/include/verilated_syms.h \ + /usr/local/share/verilator/include/verilated_sym_props.h \ + /usr/local/share/verilator/include/verilated_threads.h \ + /usr/local/share/verilator/include/verilated_trace.h \ + /usr/local/share/verilator/include/verilatedos_c.h diff --git a/obj_dir/verilated.o b/obj_dir/verilated.o new file mode 100644 index 0000000..6ade0d7 Binary files /dev/null and b/obj_dir/verilated.o differ diff --git a/obj_dir/verilated_threads.d b/obj_dir/verilated_threads.d new file mode 100644 index 0000000..c850804 --- /dev/null +++ b/obj_dir/verilated_threads.d @@ -0,0 +1,8 @@ +verilated_threads.o: \ + /usr/local/share/verilator/include/verilated_threads.cpp \ + /usr/local/share/verilator/include/verilatedos.h \ + /usr/local/share/verilator/include/verilated_threads.h \ + /usr/local/share/verilator/include/verilated.h \ + /usr/local/share/verilator/include/verilated_config.h \ + /usr/local/share/verilator/include/verilated_types.h \ + /usr/local/share/verilator/include/verilated_funcs.h diff --git a/obj_dir/verilated_threads.o b/obj_dir/verilated_threads.o new file mode 100644 index 0000000..7b17746 Binary files /dev/null and b/obj_dir/verilated_threads.o differ diff --git a/obj_dir/verilated_vcd_c.d b/obj_dir/verilated_vcd_c.d new file mode 100644 index 0000000..c5d95a1 --- /dev/null +++ b/obj_dir/verilated_vcd_c.d @@ -0,0 +1,11 @@ +verilated_vcd_c.o: /usr/local/share/verilator/include/verilated_vcd_c.cpp \ + /usr/local/share/verilator/include/verilatedos.h \ + /usr/local/share/verilator/include/verilated.h \ + /usr/local/share/verilator/include/verilated_config.h \ + /usr/local/share/verilator/include/verilated_types.h \ + /usr/local/share/verilator/include/verilated_funcs.h \ + /usr/local/share/verilator/include/verilated_vcd_c.h \ + /usr/local/share/verilator/include/verilated_trace.h \ + /usr/local/share/verilator/include/verilated_trace_imp.h \ + /usr/local/share/verilator/include/verilated_intrinsics.h \ + /usr/local/share/verilator/include/verilated_threads.h diff --git a/obj_dir/verilated_vcd_c.o b/obj_dir/verilated_vcd_c.o new file mode 100644 index 0000000..f952c07 Binary files /dev/null and b/obj_dir/verilated_vcd_c.o differ diff --git a/src/fpnew_cast_multi.sv b/src/fpnew_cast_multi.sv index 25e5bf5..ebfa0e7 100644 --- a/src/fpnew_cast_multi.sv +++ b/src/fpnew_cast_multi.sv @@ -63,6 +63,7 @@ module fpnew_cast_multi #( input logic [ExtRegEnaWidth-1:0] reg_ena_i ); + import fpnew_pkg::*; // ---------- // Constants // ---------- diff --git a/src/fpnew_classifier.sv b/src/fpnew_classifier.sv index a322946..38d3bbd 100644 --- a/src/fpnew_classifier.sv +++ b/src/fpnew_classifier.sv @@ -23,7 +23,8 @@ module fpnew_classifier #( input logic [NumOperands-1:0] is_boxed_i, output fpnew_pkg::fp_info_t [NumOperands-1:0] info_o ); - + import fpnew_pkg::*; + localparam int unsigned EXP_BITS = fpnew_pkg::exp_bits(FpFormat); localparam int unsigned MAN_BITS = fpnew_pkg::man_bits(FpFormat); diff --git a/src/fpnew_divsqrt_multi.sv b/src/fpnew_divsqrt_multi.sv index d47f71b..3a2cc8e 100644 --- a/src/fpnew_divsqrt_multi.sv +++ b/src/fpnew_divsqrt_multi.sv @@ -63,6 +63,8 @@ module fpnew_divsqrt_multi #( input logic [ExtRegEnaWidth-1:0] reg_ena_i ); + import fpnew_pkg::*; + // ---------- // Constants // ---------- diff --git a/src/fpnew_divsqrt_th_32.sv b/src/fpnew_divsqrt_th_32.sv index 8ddb80e..31ca502 100644 --- a/src/fpnew_divsqrt_th_32.sv +++ b/src/fpnew_divsqrt_th_32.sv @@ -59,7 +59,8 @@ module fpnew_divsqrt_th_32 #( // External register enable override input logic [ExtRegEnaWidth-1:0] reg_ena_i ); - + import fpnew_pkg::*; + // ---------- // Constants // ---------- diff --git a/src/fpnew_divsqrt_th_64_multi.sv b/src/fpnew_divsqrt_th_64_multi.sv index 2e66399..1652f4d 100644 --- a/src/fpnew_divsqrt_th_64_multi.sv +++ b/src/fpnew_divsqrt_th_64_multi.sv @@ -64,7 +64,8 @@ module fpnew_divsqrt_th_64_multi #( // External register enable override input logic [ExtRegEnaWidth-1:0] reg_ena_i ); - + import fpnew_pkg::*; + // ---------- // Constants // ---------- diff --git a/src/fpnew_fma.sv b/src/fpnew_fma.sv index 60097b1..4ad2234 100644 --- a/src/fpnew_fma.sv +++ b/src/fpnew_fma.sv @@ -55,7 +55,7 @@ module fpnew_fma #( // External register enable override input logic [ExtRegEnaWidth-1:0] reg_ena_i ); - + import fpnew_pkg::*; // ---------- // Constants // ---------- @@ -74,21 +74,21 @@ module fpnew_fma #( // Shift amount width: maximum internal mantissa size is 3p+4 bits localparam int unsigned SHIFT_AMOUNT_WIDTH = $clog2(3 * PRECISION_BITS + 5); // Pipelines - localparam NUM_INP_REGS = PipeConfig == fpnew_pkg::BEFORE + localparam NUM_INP_REGS = (PipeConfig == fpnew_pkg::BEFORE ? NumPipeRegs : (PipeConfig == fpnew_pkg::DISTRIBUTED ? ((NumPipeRegs + 1) / 3) // Second to get distributed regs - : 0); // no regs here otherwise - localparam NUM_MID_REGS = PipeConfig == fpnew_pkg::INSIDE + : 0))-1; // no regs here otherwise + localparam NUM_MID_REGS = (PipeConfig == fpnew_pkg::INSIDE ? NumPipeRegs : (PipeConfig == fpnew_pkg::DISTRIBUTED ? ((NumPipeRegs + 2) / 3) // First to get distributed regs - : 0); // no regs here otherwise - localparam NUM_OUT_REGS = PipeConfig == fpnew_pkg::AFTER + : 0))-1; // no regs here otherwise + localparam NUM_OUT_REGS = (PipeConfig == fpnew_pkg::AFTER ? NumPipeRegs : (PipeConfig == fpnew_pkg::DISTRIBUTED ? (NumPipeRegs / 3) // Last to get distributed regs - : 0); // no regs here otherwise + : 0))-1; // no regs here otherwise // ---------------- // Type definition @@ -103,6 +103,16 @@ module fpnew_fma #( // Input pipeline // --------------- // Input pipeline signals, index i holds signal after i register stages + logic [2:0][WIDTH-1:0] inp_pipe_operands_q_w; + logic [2:0] inp_pipe_is_boxed_q_w; + fpnew_pkg::roundmode_e inp_pipe_rnd_mode_q_w; + fpnew_pkg::operation_e inp_pipe_op_q_w; + logic inp_pipe_op_mod_q_w; + TagType inp_pipe_tag_q_w; + logic inp_pipe_mask_q_w; + AuxType inp_pipe_aux_q_w; + logic inp_pipe_valid_q_w; + logic [0:NUM_INP_REGS][2:0][WIDTH-1:0] inp_pipe_operands_q; logic [0:NUM_INP_REGS][2:0] inp_pipe_is_boxed_q; fpnew_pkg::roundmode_e [0:NUM_INP_REGS] inp_pipe_rnd_mode_q; @@ -113,41 +123,56 @@ module fpnew_fma #( AuxType [0:NUM_INP_REGS] inp_pipe_aux_q; logic [0:NUM_INP_REGS] inp_pipe_valid_q; // Ready signal is combinatorial for all stages - logic [0:NUM_INP_REGS] inp_pipe_ready; + logic [0:NUM_INP_REGS+1] inp_pipe_ready; // Input stage: First element of pipeline is taken from inputs - assign inp_pipe_operands_q[0] = operands_i; - assign inp_pipe_is_boxed_q[0] = is_boxed_i; - assign inp_pipe_rnd_mode_q[0] = rnd_mode_i; - assign inp_pipe_op_q[0] = op_i; - assign inp_pipe_op_mod_q[0] = op_mod_i; - assign inp_pipe_tag_q[0] = tag_i; - assign inp_pipe_mask_q[0] = mask_i; - assign inp_pipe_aux_q[0] = aux_i; - assign inp_pipe_valid_q[0] = in_valid_i; + assign inp_pipe_operands_q_w = operands_i; + assign inp_pipe_is_boxed_q_w = is_boxed_i; + assign inp_pipe_rnd_mode_q_w = rnd_mode_i; + assign inp_pipe_op_q_w = op_i; + assign inp_pipe_op_mod_q_w = op_mod_i; + assign inp_pipe_tag_q_w = tag_i; + assign inp_pipe_mask_q_w = mask_i; + assign inp_pipe_aux_q_w = aux_i; + assign inp_pipe_valid_q_w = in_valid_i; // Input stage: Propagate pipeline ready signal to updtream circuitry assign in_ready_o = inp_pipe_ready[0]; // Generate the register stages - for (genvar i = 0; i < NUM_INP_REGS; i++) begin : gen_input_pipeline + for (genvar i = 0; i < NUM_INP_REGS+1; i++) begin : gen_input_pipeline // Internal register enable for this stage logic reg_ena; // Determine the ready signal of the current stage - advance the pipeline: // 1. if the next stage is ready for our data // 2. if the next stage only holds a bubble (not valid) -> we can pop it - assign inp_pipe_ready[i] = inp_pipe_ready[i+1] | ~inp_pipe_valid_q[i+1]; + assign inp_pipe_ready[i] = inp_pipe_ready[i] | ~inp_pipe_valid_q[i]; // Valid: enabled by ready signal, synchronous clear with the flush signal - `FFLARNC(inp_pipe_valid_q[i+1], inp_pipe_valid_q[i], inp_pipe_ready[i], flush_i, 1'b0, clk_i, rst_ni) + if(i==0) begin + `FFLARNC(inp_pipe_valid_q[i], inp_pipe_valid_q_w, inp_pipe_ready[0], flush_i, 1'b0, clk_i, rst_ni) + end else begin + `FFLARNC(inp_pipe_valid_q[i], inp_pipe_valid_q[i-1], inp_pipe_ready[i], flush_i, 1'b0, clk_i, rst_ni) + end // Enable register if pipleine ready and a valid data item is present assign reg_ena = (inp_pipe_ready[i] & inp_pipe_valid_q[i]) | reg_ena_i[i]; // Generate the pipeline registers within the stages, use enable-registers - `FFL(inp_pipe_operands_q[i+1], inp_pipe_operands_q[i], reg_ena, '0) - `FFL(inp_pipe_is_boxed_q[i+1], inp_pipe_is_boxed_q[i], reg_ena, '0) - `FFL(inp_pipe_rnd_mode_q[i+1], inp_pipe_rnd_mode_q[i], reg_ena, fpnew_pkg::RNE) - `FFL(inp_pipe_op_q[i+1], inp_pipe_op_q[i], reg_ena, fpnew_pkg::FMADD) - `FFL(inp_pipe_op_mod_q[i+1], inp_pipe_op_mod_q[i], reg_ena, '0) - `FFL(inp_pipe_tag_q[i+1], inp_pipe_tag_q[i], reg_ena, TagType'('0)) - `FFL(inp_pipe_mask_q[i+1], inp_pipe_mask_q[i], reg_ena, '0) - `FFL(inp_pipe_aux_q[i+1], inp_pipe_aux_q[i], reg_ena, AuxType'('0)) + if(i==0) begin + `FFL(inp_pipe_operands_q[i], inp_pipe_operands_q_w, reg_ena, '0) + `FFL(inp_pipe_is_boxed_q[i], inp_pipe_is_boxed_q_w, reg_ena, '0) + `FFL(inp_pipe_rnd_mode_q[i], inp_pipe_rnd_mode_q_w, reg_ena, fpnew_pkg::RNE) + `FFL(inp_pipe_op_q[i], inp_pipe_op_q_w, reg_ena, fpnew_pkg::FMADD) + `FFL(inp_pipe_op_mod_q[i], inp_pipe_op_mod_q_w, reg_ena, '0) + `FFL(inp_pipe_tag_q[i], inp_pipe_tag_q_w, reg_ena, TagType'('0)) + `FFL(inp_pipe_mask_q[i], inp_pipe_mask_q_w, reg_ena, '0) + `FFL(inp_pipe_aux_q[i], inp_pipe_aux_q_w, reg_ena, AuxType'('0)) + end else begin + `FFL(inp_pipe_operands_q[i], inp_pipe_operands_q[i-1], reg_ena, '0) + `FFL(inp_pipe_is_boxed_q[i], inp_pipe_is_boxed_q[i-1], reg_ena, '0) + `FFL(inp_pipe_rnd_mode_q[i], inp_pipe_rnd_mode_q[i-1], reg_ena, fpnew_pkg::RNE) + `FFL(inp_pipe_op_q[i], inp_pipe_op_q[i-1], reg_ena, fpnew_pkg::FMADD) + `FFL(inp_pipe_op_mod_q[i], inp_pipe_op_mod_q[i-1], reg_ena, '0) + `FFL(inp_pipe_tag_q[i], inp_pipe_tag_q[i-1], reg_ena, TagType'('0)) + `FFL(inp_pipe_mask_q[i], inp_pipe_mask_q[i-1], reg_ena, '0) + `FFL(inp_pipe_aux_q[i], inp_pipe_aux_q[i-1], reg_ena, AuxType'('0)) + end end // ----------------- @@ -175,8 +200,8 @@ module fpnew_fma #( // | FMADD | \c 1 | FMSUB: Invert sign of operand C // | FNMSUB | \c 0 | FNMSUB: Invert sign of operand A // | FNMSUB | \c 1 | FNMADD: Invert sign of operands A and C - // | ADD | \c 0 | ADD: Set operand A to +1.0 - // | ADD | \c 1 | SUB: Set operand A to +1.0, invert sign of operand C + // | ADD | \c 0 | ADD: Set operand A to .0 + // | ADD | \c 1 | SUB: Set operand A to .0, invert sign of operand C // | MUL | \c 0 | MUL: Set operand C to +0.0 or -0.0 depending on the rounding mode // | *others* | \c - | *invalid* // \note \c op_mod_q always inverts the sign of the addend. @@ -197,7 +222,7 @@ module fpnew_fma #( fpnew_pkg::FMADD: ; // do nothing fpnew_pkg::FNMSUB: operand_a.sign = ~operand_a.sign; // invert sign of product fpnew_pkg::ADD, - fpnew_pkg::ADDS: begin // Set multiplicand to +1 + fpnew_pkg::ADDS: begin // Set multiplicand to operand_a = '{sign: 1'b0, exponent: BIAS, mantissa: '0}; info_a = '{is_normal: 1'b1, is_boxed: 1'b1, default: 1'b0}; //normal, boxed value. end @@ -220,7 +245,7 @@ module fpnew_fma #( end // --------------------- - // Input classification + // Input classification //输入特殊情况分类 // --------------------- logic any_operand_inf; logic any_operand_nan; @@ -238,7 +263,7 @@ module fpnew_fma #( assign tentative_sign = operand_a.sign ^ operand_b.sign; // ---------------------- - // Special case handling + // Special case handling 特殊情况处理 // ---------------------- fp_t special_result; fpnew_pkg::status_t special_status; @@ -409,6 +434,23 @@ module fpnew_fma #( fp_t special_result_q; fpnew_pkg::status_t special_status_q; // Internal pipeline signals, index i holds signal after i register stages + logic mid_pipe_eff_sub_q_w; + logic signed [EXP_WIDTH-1:0] mid_pipe_exp_prod_q_w; + logic signed [EXP_WIDTH-1:0] mid_pipe_exp_diff_q_w; + logic signed [EXP_WIDTH-1:0] mid_pipe_tent_exp_q_w; + logic [SHIFT_AMOUNT_WIDTH-1:0] mid_pipe_add_shamt_q_w; + logic mid_pipe_sticky_q_w; + logic [3*PRECISION_BITS+3:0] mid_pipe_sum_q_w; + logic mid_pipe_final_sign_q_w; + fpnew_pkg::roundmode_e mid_pipe_rnd_mode_q_w; + logic mid_pipe_res_is_spec_q_w; + fp_t mid_pipe_spec_res_q_w; + fpnew_pkg::status_t mid_pipe_spec_stat_q_w; + TagType mid_pipe_tag_q_w; + logic mid_pipe_mask_q_w; + AuxType mid_pipe_aux_q_w; + logic mid_pipe_valid_q_w; + logic [0:NUM_MID_REGS] mid_pipe_eff_sub_q; logic signed [0:NUM_MID_REGS][EXP_WIDTH-1:0] mid_pipe_exp_prod_q; logic signed [0:NUM_MID_REGS][EXP_WIDTH-1:0] mid_pipe_exp_diff_q; @@ -426,56 +468,83 @@ module fpnew_fma #( AuxType [0:NUM_MID_REGS] mid_pipe_aux_q; logic [0:NUM_MID_REGS] mid_pipe_valid_q; // Ready signal is combinatorial for all stages - logic [0:NUM_MID_REGS] mid_pipe_ready; + logic [0:NUM_MID_REGS+1] mid_pipe_ready; // Input stage: First element of pipeline is taken from upstream logic - assign mid_pipe_eff_sub_q[0] = effective_subtraction; - assign mid_pipe_exp_prod_q[0] = exponent_product; - assign mid_pipe_exp_diff_q[0] = exponent_difference; - assign mid_pipe_tent_exp_q[0] = tentative_exponent; - assign mid_pipe_add_shamt_q[0] = addend_shamt; - assign mid_pipe_sticky_q[0] = sticky_before_add; - assign mid_pipe_sum_q[0] = sum; - assign mid_pipe_final_sign_q[0] = final_sign; - assign mid_pipe_rnd_mode_q[0] = inp_pipe_rnd_mode_q[NUM_INP_REGS]; - assign mid_pipe_res_is_spec_q[0] = result_is_special; - assign mid_pipe_spec_res_q[0] = special_result; - assign mid_pipe_spec_stat_q[0] = special_status; - assign mid_pipe_tag_q[0] = inp_pipe_tag_q[NUM_INP_REGS]; - assign mid_pipe_mask_q[0] = inp_pipe_mask_q[NUM_INP_REGS]; - assign mid_pipe_aux_q[0] = inp_pipe_aux_q[NUM_INP_REGS]; - assign mid_pipe_valid_q[0] = inp_pipe_valid_q[NUM_INP_REGS]; + // 基本运算控制信号 + assign mid_pipe_eff_sub_q_w = effective_subtraction; // 有效减法标志(AB-C运算) + assign mid_pipe_exp_prod_q_w = exponent_product; // 乘积项的指数值 + assign mid_pipe_exp_diff_q_w = exponent_difference; // 加数与乘积的指数差 + assign mid_pipe_tent_exp_q_w = tentative_exponent; // 暂定结果指数(max(乘积指数,加数指数)) + // 移位相关参数 + assign mid_pipe_add_shamt_q_w = addend_shamt; // 加数右移量(用于对齐尾数) + assign mid_pipe_sticky_q_w = sticky_before_add; // 移位丢失的粘滞位 + // 加法器输出结果 + assign mid_pipe_sum_q_w = sum; // 尾数求和结果(3p+4位) + assign mid_pipe_final_sign_q_w = final_sign; // 最终符号位 + // 特殊结果处理 + assign mid_pipe_rnd_mode_q_w = inp_pipe_rnd_mode_q[NUM_INP_REGS]; // 舍入模式(继承输入流水线) + assign mid_pipe_res_is_spec_q_w = result_is_special; // 特殊结果标识(NaN/inf/zero) + assign mid_pipe_spec_res_q_w = special_result; // 特殊结果值(qNaN/inf) + assign mid_pipe_spec_stat_q_w = special_status; // 特殊结果状态(异常标志) + // 操作元数据 + assign mid_pipe_tag_q_w = inp_pipe_tag_q[NUM_INP_REGS]; // 操作标签(多操作追踪) + assign mid_pipe_mask_q_w = inp_pipe_mask_q[NUM_INP_REGS]; // 操作掩码(SIMD控制) + assign mid_pipe_aux_q_w = inp_pipe_aux_q[NUM_INP_REGS]; // 辅助数据(异常处理) + assign mid_pipe_valid_q_w = inp_pipe_valid_q[NUM_INP_REGS]; // 数据有效标志 // Input stage: Propagate pipeline ready signal to input pipe - assign inp_pipe_ready[NUM_INP_REGS] = mid_pipe_ready[0]; + assign inp_pipe_ready[NUM_INP_REGS+1] = mid_pipe_ready[0]; // Generate the register stages - for (genvar i = 0; i < NUM_MID_REGS; i++) begin : gen_inside_pipeline + for (genvar i = 0; i < NUM_MID_REGS+1; i++) begin : gen_inside_pipeline // Internal register enable for this stage logic reg_ena; // Determine the ready signal of the current stage - advance the pipeline: // 1. if the next stage is ready for our data // 2. if the next stage only holds a bubble (not valid) -> we can pop it - assign mid_pipe_ready[i] = mid_pipe_ready[i+1] | ~mid_pipe_valid_q[i+1]; + assign mid_pipe_ready[i] = mid_pipe_ready[i+1] | ~mid_pipe_valid_q[i]; // Valid: enabled by ready signal, synchronous clear with the flush signal - `FFLARNC(mid_pipe_valid_q[i+1], mid_pipe_valid_q[i], mid_pipe_ready[i], flush_i, 1'b0, clk_i, rst_ni) + if(i==0) begin + `FFLARNC(mid_pipe_valid_q[i], mid_pipe_valid_q_w, mid_pipe_ready[0], flush_i, 1'b0, clk_i, rst_ni) + end else begin + `FFLARNC(mid_pipe_valid_q[i], mid_pipe_valid_q[i-1], mid_pipe_ready[i], flush_i, 1'b0, clk_i, rst_ni) + end // Enable register if pipleine ready and a valid data item is present assign reg_ena = (mid_pipe_ready[i] & mid_pipe_valid_q[i]) | reg_ena_i[NUM_INP_REGS + i]; // Generate the pipeline registers within the stages, use enable-registers - `FFL(mid_pipe_eff_sub_q[i+1], mid_pipe_eff_sub_q[i], reg_ena, '0) - `FFL(mid_pipe_exp_prod_q[i+1], mid_pipe_exp_prod_q[i], reg_ena, '0) - `FFL(mid_pipe_exp_diff_q[i+1], mid_pipe_exp_diff_q[i], reg_ena, '0) - `FFL(mid_pipe_tent_exp_q[i+1], mid_pipe_tent_exp_q[i], reg_ena, '0) - `FFL(mid_pipe_add_shamt_q[i+1], mid_pipe_add_shamt_q[i], reg_ena, '0) - `FFL(mid_pipe_sticky_q[i+1], mid_pipe_sticky_q[i], reg_ena, '0) - `FFL(mid_pipe_sum_q[i+1], mid_pipe_sum_q[i], reg_ena, '0) - `FFL(mid_pipe_final_sign_q[i+1], mid_pipe_final_sign_q[i], reg_ena, '0) - `FFL(mid_pipe_rnd_mode_q[i+1], mid_pipe_rnd_mode_q[i], reg_ena, fpnew_pkg::RNE) - `FFL(mid_pipe_res_is_spec_q[i+1], mid_pipe_res_is_spec_q[i], reg_ena, '0) - `FFL(mid_pipe_spec_res_q[i+1], mid_pipe_spec_res_q[i], reg_ena, '0) - `FFL(mid_pipe_spec_stat_q[i+1], mid_pipe_spec_stat_q[i], reg_ena, '0) - `FFL(mid_pipe_tag_q[i+1], mid_pipe_tag_q[i], reg_ena, TagType'('0)) - `FFL(mid_pipe_mask_q[i+1], mid_pipe_mask_q[i], reg_ena, '0) - `FFL(mid_pipe_aux_q[i+1], mid_pipe_aux_q[i], reg_ena, AuxType'('0)) + if(i==0) begin + `FFL(mid_pipe_eff_sub_q[i], mid_pipe_eff_sub_q_w, reg_ena, '0) + `FFL(mid_pipe_exp_prod_q[i], mid_pipe_exp_prod_q_w, reg_ena, '0) + `FFL(mid_pipe_exp_diff_q[i], mid_pipe_exp_diff_q_w, reg_ena, '0) + `FFL(mid_pipe_tent_exp_q[i], mid_pipe_tent_exp_q_w, reg_ena, '0) + `FFL(mid_pipe_add_shamt_q[i], mid_pipe_add_shamt_q_w, reg_ena, '0) + `FFL(mid_pipe_sticky_q[i], mid_pipe_sticky_q_w, reg_ena, '0) + `FFL(mid_pipe_sum_q[i], mid_pipe_sum_q_w, reg_ena, '0) + `FFL(mid_pipe_final_sign_q[i], mid_pipe_final_sign_q_w, reg_ena, '0) + `FFL(mid_pipe_rnd_mode_q[i], mid_pipe_rnd_mode_q_w, reg_ena, fpnew_pkg::RNE) + `FFL(mid_pipe_res_is_spec_q[i], mid_pipe_res_is_spec_q_w, reg_ena, '0) + `FFL(mid_pipe_spec_res_q[i], mid_pipe_spec_res_q_w, reg_ena, '0) + `FFL(mid_pipe_spec_stat_q[i], mid_pipe_spec_stat_q_w, reg_ena, '0) + `FFL(mid_pipe_tag_q[i], mid_pipe_tag_q_w, reg_ena, TagType'('0)) + `FFL(mid_pipe_mask_q[i], mid_pipe_mask_q_w, reg_ena, '0) + `FFL(mid_pipe_aux_q[i], mid_pipe_aux_q_w, reg_ena, AuxType'('0)) + end else begin + `FFL(mid_pipe_eff_sub_q[i], mid_pipe_eff_sub_q[i-1], reg_ena, '0) + `FFL(mid_pipe_exp_prod_q[i], mid_pipe_exp_prod_q[i-1], reg_ena, '0) + `FFL(mid_pipe_exp_diff_q[i], mid_pipe_exp_diff_q[i-1], reg_ena, '0) + `FFL(mid_pipe_tent_exp_q[i], mid_pipe_tent_exp_q[i-1], reg_ena, '0) + `FFL(mid_pipe_add_shamt_q[i], mid_pipe_add_shamt_q[i-1], reg_ena, '0) + `FFL(mid_pipe_sticky_q[i], mid_pipe_sticky_q[i-1], reg_ena, '0) + `FFL(mid_pipe_sum_q[i], mid_pipe_sum_q[i-1], reg_ena, '0) + `FFL(mid_pipe_final_sign_q[i], mid_pipe_final_sign_q[i-1], reg_ena, '0) + `FFL(mid_pipe_rnd_mode_q[i], mid_pipe_rnd_mode_q[i-1], reg_ena, fpnew_pkg::RNE) + `FFL(mid_pipe_res_is_spec_q[i], mid_pipe_res_is_spec_q[i-1], reg_ena, '0) + `FFL(mid_pipe_spec_res_q[i], mid_pipe_spec_res_q[i-1], reg_ena, '0) + `FFL(mid_pipe_spec_stat_q[i], mid_pipe_spec_stat_q[i-1], reg_ena, '0) + `FFL(mid_pipe_tag_q[i], mid_pipe_tag_q[i-1], reg_ena, TagType'('0)) + `FFL(mid_pipe_mask_q[i], mid_pipe_mask_q[i-1], reg_ena, '0) + `FFL(mid_pipe_aux_q[i], mid_pipe_aux_q[i-1], reg_ena, AuxType'('0)) + end end // Output stage: assign selected pipe outputs to signals for later use assign effective_subtraction_q = mid_pipe_eff_sub_q[NUM_MID_REGS]; @@ -650,6 +719,13 @@ module fpnew_fma #( // Output Pipeline // ---------------- // Output pipeline signals, index i holds signal after i register stages + fp_t out_pipe_result_q_w; + fpnew_pkg::status_t out_pipe_status_q_w; + TagType out_pipe_tag_q_w; + logic out_pipe_mask_q_w; + AuxType out_pipe_aux_q_w; + logic out_pipe_valid_q_w; + fp_t [0:NUM_OUT_REGS] out_pipe_result_q; fpnew_pkg::status_t [0:NUM_OUT_REGS] out_pipe_status_q; TagType [0:NUM_OUT_REGS] out_pipe_tag_q; @@ -657,38 +733,50 @@ module fpnew_fma #( AuxType [0:NUM_OUT_REGS] out_pipe_aux_q; logic [0:NUM_OUT_REGS] out_pipe_valid_q; // Ready signal is combinatorial for all stages - logic [0:NUM_OUT_REGS] out_pipe_ready; + logic [0:NUM_OUT_REGS+1] out_pipe_ready; // Input stage: First element of pipeline is taken from inputs - assign out_pipe_result_q[0] = result_d; - assign out_pipe_status_q[0] = status_d; - assign out_pipe_tag_q[0] = mid_pipe_tag_q[NUM_MID_REGS]; - assign out_pipe_mask_q[0] = mid_pipe_mask_q[NUM_MID_REGS]; - assign out_pipe_aux_q[0] = mid_pipe_aux_q[NUM_MID_REGS]; - assign out_pipe_valid_q[0] = mid_pipe_valid_q[NUM_MID_REGS]; + assign out_pipe_result_q_w = result_d; + assign out_pipe_status_q_w = status_d; + assign out_pipe_tag_q_w = mid_pipe_tag_q[NUM_MID_REGS]; + assign out_pipe_mask_q_w = mid_pipe_mask_q[NUM_MID_REGS]; + assign out_pipe_aux_q_w = mid_pipe_aux_q[NUM_MID_REGS]; + assign out_pipe_valid_q_w = mid_pipe_valid_q[NUM_MID_REGS]; // Input stage: Propagate pipeline ready signal to inside pipe - assign mid_pipe_ready[NUM_MID_REGS] = out_pipe_ready[0]; + assign mid_pipe_ready[NUM_MID_REGS+1] = out_pipe_ready[0]; // Generate the register stages - for (genvar i = 0; i < NUM_OUT_REGS; i++) begin : gen_output_pipeline + for (genvar i = 0; i < NUM_OUT_REGS+1; i++) begin : gen_output_pipeline // Internal register enable for this stage logic reg_ena; // Determine the ready signal of the current stage - advance the pipeline: // 1. if the next stage is ready for our data // 2. if the next stage only holds a bubble (not valid) -> we can pop it - assign out_pipe_ready[i] = out_pipe_ready[i+1] | ~out_pipe_valid_q[i+1]; + assign out_pipe_ready[i] = out_pipe_ready[i+1] | ~out_pipe_valid_q[i]; // Valid: enabled by ready signal, synchronous clear with the flush signal - `FFLARNC(out_pipe_valid_q[i+1], out_pipe_valid_q[i], out_pipe_ready[i], flush_i, 1'b0, clk_i, rst_ni) + if(i==0) begin + `FFLARNC(out_pipe_valid_q[i], out_pipe_valid_q_w, out_pipe_ready[0], flush_i, 1'b0, clk_i, rst_ni) + end else begin + `FFLARNC(out_pipe_valid_q[i], out_pipe_valid_q[i-1], out_pipe_ready[i], flush_i, 1'b0, clk_i, rst_ni) + end // Enable register if pipleine ready and a valid data item is present assign reg_ena = (out_pipe_ready[i] & out_pipe_valid_q[i]) | reg_ena_i[NUM_INP_REGS + NUM_MID_REGS + i]; // Generate the pipeline registers within the stages, use enable-registers - `FFL(out_pipe_result_q[i+1], out_pipe_result_q[i], reg_ena, '0) - `FFL(out_pipe_status_q[i+1], out_pipe_status_q[i], reg_ena, '0) - `FFL(out_pipe_tag_q[i+1], out_pipe_tag_q[i], reg_ena, TagType'('0)) - `FFL(out_pipe_mask_q[i+1], out_pipe_mask_q[i], reg_ena, '0) - `FFL(out_pipe_aux_q[i+1], out_pipe_aux_q[i], reg_ena, AuxType'('0)) + if(i==0) begin + `FFL(out_pipe_result_q[i], out_pipe_result_q_w, reg_ena, '0) + `FFL(out_pipe_status_q[i], out_pipe_status_q_w, reg_ena, '0) + `FFL(out_pipe_tag_q[i], out_pipe_tag_q_w, reg_ena, TagType'('0)) + `FFL(out_pipe_mask_q[i], out_pipe_mask_q_w, reg_ena, '0) + `FFL(out_pipe_aux_q[i], out_pipe_aux_q_w, reg_ena, AuxType'('0)) + end else begin + `FFL(out_pipe_result_q[i], out_pipe_result_q[i-1], reg_ena, '0) + `FFL(out_pipe_status_q[i], out_pipe_status_q[i-1], reg_ena, '0) + `FFL(out_pipe_tag_q[i], out_pipe_tag_q[i-1], reg_ena, TagType'('0)) + `FFL(out_pipe_mask_q[i], out_pipe_mask_q[i-1], reg_ena, '0) + `FFL(out_pipe_aux_q[i], out_pipe_aux_q[i-1], reg_ena, AuxType'('0)) + end end // Output stage: Ready travels backwards from output side, driven by downstream circuitry - assign out_pipe_ready[NUM_OUT_REGS] = out_ready_i; + assign out_pipe_ready[NUM_OUT_REGS+1] = out_ready_i; // Output stage: assign module outputs assign result_o = out_pipe_result_q[NUM_OUT_REGS]; assign status_o = out_pipe_status_q[NUM_OUT_REGS]; diff --git a/src/fpnew_fma_multi.sv b/src/fpnew_fma_multi.sv index d5583d9..aaeed5b 100644 --- a/src/fpnew_fma_multi.sv +++ b/src/fpnew_fma_multi.sv @@ -59,7 +59,7 @@ module fpnew_fma_multi #( // External register enable override input logic [ExtRegEnaWidth-1:0] reg_ena_i ); - + import fpnew_pkg::*; // ---------- // Constants // ---------- diff --git a/src/fpnew_noncomp.sv b/src/fpnew_noncomp.sv index a8cf765..efb30bd 100644 --- a/src/fpnew_noncomp.sv +++ b/src/fpnew_noncomp.sv @@ -15,6 +15,8 @@ `include "common_cells/registers.svh" + + module fpnew_noncomp #( parameter fpnew_pkg::fp_format_e FpFormat = fpnew_pkg::fp_format_e'(0), parameter int unsigned NumPipeRegs = 0, @@ -57,7 +59,7 @@ module fpnew_noncomp #( // External register enable override input logic [ExtRegEnaWidth-1:0] reg_ena_i ); - + import fpnew_pkg::*; // ---------- // Constants // ---------- diff --git a/src/fpnew_opgroup_block.sv b/src/fpnew_opgroup_block.sv index e9e7f9f..ea6cdab 100644 --- a/src/fpnew_opgroup_block.sv +++ b/src/fpnew_opgroup_block.sv @@ -13,6 +13,8 @@ // Author: Stefan Mach + + module fpnew_opgroup_block #( parameter fpnew_pkg::opgroup_e OpGroup = fpnew_pkg::ADDMUL, // FPU configuration @@ -61,7 +63,7 @@ module fpnew_opgroup_block #( // Indication of valid data in flight output logic busy_o ); - + import fpnew_pkg::*; // ---------------- // Type Definition // ---------------- diff --git a/src/fpnew_opgroup_fmt_slice.sv b/src/fpnew_opgroup_fmt_slice.sv index 9aeb469..8bc4cc6 100644 --- a/src/fpnew_opgroup_fmt_slice.sv +++ b/src/fpnew_opgroup_fmt_slice.sv @@ -13,6 +13,8 @@ // Author: Stefan Mach + + module fpnew_opgroup_fmt_slice #( parameter fpnew_pkg::opgroup_e OpGroup = fpnew_pkg::ADDMUL, parameter fpnew_pkg::fp_format_e FpFormat = fpnew_pkg::fp_format_e'(0), @@ -58,7 +60,7 @@ module fpnew_opgroup_fmt_slice #( // External register enable override input logic [ExtRegEnaWidth-1:0] reg_ena_i ); - + import fpnew_pkg::*; localparam int unsigned FP_WIDTH = fpnew_pkg::fp_width(FpFormat); localparam int unsigned SIMD_WIDTH = unsigned'(Width/NUM_LANES); diff --git a/src/fpnew_opgroup_multifmt_slice.sv b/src/fpnew_opgroup_multifmt_slice.sv index 6114556..db63ad0 100644 --- a/src/fpnew_opgroup_multifmt_slice.sv +++ b/src/fpnew_opgroup_multifmt_slice.sv @@ -15,6 +15,8 @@ `include "common_cells/registers.svh" + + module fpnew_opgroup_multifmt_slice #( parameter fpnew_pkg::opgroup_e OpGroup = fpnew_pkg::CONV, parameter int unsigned Width = 64, @@ -65,7 +67,7 @@ module fpnew_opgroup_multifmt_slice #( // External register enable override input logic [ExtRegEnaWidth-1:0] reg_ena_i ); - + import fpnew_pkg::*; if ((OpGroup == fpnew_pkg::DIVSQRT)) begin if ((DivSqrtSel == fpnew_pkg::TH32) && !((FpFmtConfig[0] == 1) && (FpFmtConfig[1:NUM_FORMATS-1] == '0))) begin $fatal(1, "T-Head-based DivSqrt unit supported only in FP32-only configurations. \ diff --git a/src/fpnew_pkg.sv b/src/fpnew_pkg.sv index 0167305..169b0b9 100644 --- a/src/fpnew_pkg.sv +++ b/src/fpnew_pkg.sv @@ -265,6 +265,14 @@ package fpnew_pkg; IntFmtMask: 4'b0110 }; + localparam fpu_features_t FP16_FEATURE = '{ + Width: 16, + EnableVectors: 1'b0, + EnableNanBox: 1'b1, + FpFmtMask: 5'b00100, + IntFmtMask: 4'b0000 + }; + // FPU configuraion: implementation typedef struct packed { @@ -291,6 +299,18 @@ package fpnew_pkg; PipeConfig: BEFORE }; + localparam fpu_implementation_t FP16_MUL = '{ + PipeRegs: '{'{default: 4}, // ADDMUL + '{default: 0}, // DIVSQRT + '{default: 0}, // NONCOMP + '{default: 0}},// CONV + UnitTypes: '{'{default: PARALLEL}, // ADDMUL + '{default: DISABLED}, // DIVSQRT + '{default: DISABLED}, // NONCOMP + '{default: DISABLED}},// CONV + PipeConfig: DISTRIBUTED + }; + // ----------------------- // Synthesis optimization // ----------------------- @@ -509,4 +529,4 @@ package fpnew_pkg; return res; endfunction -endpackage +endpackage \ No newline at end of file diff --git a/src/fpnew_rounding.sv b/src/fpnew_rounding.sv index 4e67720..cdbf715 100644 --- a/src/fpnew_rounding.sv +++ b/src/fpnew_rounding.sv @@ -13,6 +13,8 @@ // Author: Stefan Mach + + module fpnew_rounding #( parameter int unsigned AbsWidth=2 // Width of the abolute value, without sign bit ) ( @@ -29,7 +31,7 @@ module fpnew_rounding #( // Output classification output logic exact_zero_o // output is an exact zero ); - + import fpnew_pkg::*; logic round_up; // Rounding decision // Take the rounding decision according to RISC-V spec diff --git a/src/fpnew_top.sv b/src/fpnew_top.sv index 1facc72..ef48331 100644 --- a/src/fpnew_top.sv +++ b/src/fpnew_top.sv @@ -13,10 +13,12 @@ // Author: Stefan Mach + + module fpnew_top #( // FPU configuration - parameter fpnew_pkg::fpu_features_t Features = fpnew_pkg::RV64D_Xsflt, - parameter fpnew_pkg::fpu_implementation_t Implementation = fpnew_pkg::DEFAULT_NOREGS, + parameter fpnew_pkg::fpu_features_t Features = fpnew_pkg::FP16_FEATURE, + parameter fpnew_pkg::fpu_implementation_t Implementation = fpnew_pkg::FP16_MUL, // DivSqrtSel chooses among PULP, TH32, or THMULTI (see documentation and fpnew_pkg.sv for further details) parameter fpnew_pkg::divsqrt_unit_t DivSqrtSel = fpnew_pkg::THMULTI, parameter type TagType = logic, @@ -55,7 +57,7 @@ module fpnew_top #( // Indication of valid data in flight output logic busy_o ); - + import fpnew_pkg::*; localparam int unsigned NUM_OPGROUPS = fpnew_pkg::NUM_OPGROUPS; localparam int unsigned NUM_FORMATS = fpnew_pkg::NUM_FP_FORMATS; diff --git a/waveform.vcd b/waveform.vcd new file mode 100644 index 0000000..5d18858 --- /dev/null +++ b/waveform.vcd @@ -0,0 +1,5109 @@ +$version Generated by VerilatedVcd $end +$timescale 1ps $end + $scope module TOP $end + $scope module fpnew_pkg $end + $var wire 32 e# NUM_FP_FORMATS [31:0] $end + $var wire 32 c# FP_FORMAT_BITS [31:0] $end + $var wire 320 W% FP_ENCODINGS [319:0] $end + $var wire 5 d$ CPK_FORMATS [0:4] $end + $var wire 32 d# NUM_INT_FORMATS [31:0] $end + $var wire 32 .$ INT_FORMAT_BITS [31:0] $end + $var wire 32 d# NUM_OPGROUPS [31:0] $end + $var wire 32 d# OP_BITS [31:0] $end + $var wire 43 a% RV64D [42:0] $end + $var wire 43 c% RV32D [42:0] $end + $var wire 43 e% RV32F [42:0] $end + $var wire 43 g% RV64D_Xsflt [42:0] $end + $var wire 43 i% RV32F_Xsflt [42:0] $end + $var wire 43 k% RV32F_Xf16alt_Xfvec [42:0] $end + $var wire 43 G# FP16_FEATURE [42:0] $end + $var wire 682 m% DEFAULT_NOREGS [681:0] $end + $var wire 682 %& DEFAULT_SNITCH [681:0] $end + $var wire 682 I# FP16_MUL [681:0] $end + $var wire 1 u# DONT_CARE $end + $upscope $end + $scope module fpnew_pkg__Vdedup0 $end + $var wire 32 e# NUM_FP_FORMATS [31:0] $end + $var wire 32 c# FP_FORMAT_BITS [31:0] $end + $var wire 320 Z$ FP_ENCODINGS [319:0] $end + $var wire 5 d$ CPK_FORMATS [0:4] $end + $var wire 32 d# NUM_INT_FORMATS [31:0] $end + $var wire 32 .$ INT_FORMAT_BITS [31:0] $end + $var wire 32 d# NUM_OPGROUPS [31:0] $end + $var wire 32 d# OP_BITS [31:0] $end + $var wire 43 e$ RV64D [42:0] $end + $var wire 43 g$ RV32D [42:0] $end + $var wire 43 i$ RV32F [42:0] $end + $var wire 43 k$ RV64D_Xsflt [42:0] $end + $var wire 43 m$ RV32F_Xsflt [42:0] $end + $var wire 43 o$ RV32F_Xf16alt_Xfvec [42:0] $end + $var wire 43 q$ FP16_FEATURE [42:0] $end + $var wire 682 s$ DEFAULT_NOREGS [681:0] $end + $var wire 682 +% DEFAULT_SNITCH [681:0] $end + $var wire 682 A% FP16_MUL [681:0] $end + $var wire 1 u# DONT_CARE $end + $upscope $end + $var wire 1 w" clk_i $end + $var wire 1 x" rst_ni $end + $var wire 48 y" operands_i [47:0] $end + $var wire 3 {" rnd_mode_i [2:0] $end + $var wire 4 |" op_i [3:0] $end + $var wire 1 }" op_mod_i $end + $var wire 3 ~" src_fmt_i [2:0] $end + $var wire 3 !# dst_fmt_i [2:0] $end + $var wire 2 "# int_fmt_i [1:0] $end + $var wire 1 ## vectorial_op_i $end + $var wire 1 $# tag_i $end + $var wire 1 %# simd_mask_i [0:0] $end + $var wire 1 &# in_valid_i $end + $var wire 1 '# in_ready_o $end + $var wire 1 (# flush_i $end + $var wire 16 )# result_o [15:0] $end + $var wire 5 *# status_o [4:0] $end + $var wire 1 +# tag_o $end + $var wire 1 ,# out_valid_o $end + $var wire 1 -# out_ready_i $end + $var wire 1 .# busy_o $end + $scope module fpnew_top $end + $var wire 43 G# Features [42:0] $end + $var wire 682 I# Implementation [681:0] $end + $var wire 2 _# DivSqrtSel [1:0] $end + $var wire 32 `# TrueSIMDClass [31:0] $end + $var wire 32 `# EnableSIMDMask [31:0] $end + $var wire 32 a# NumLanes [31:0] $end + $var wire 32 b# WIDTH [31:0] $end + $var wire 32 c# NUM_OPERANDS [31:0] $end + $var wire 1 w" clk_i $end + $var wire 1 x" rst_ni $end + $var wire 48 y" operands_i [47:0] $end + $var wire 3 {" rnd_mode_i [2:0] $end + $var wire 4 |" op_i [3:0] $end + $var wire 1 }" op_mod_i $end + $var wire 3 ~" src_fmt_i [2:0] $end + $var wire 3 !# dst_fmt_i [2:0] $end + $var wire 2 "# int_fmt_i [1:0] $end + $var wire 1 ## vectorial_op_i $end + $var wire 1 $# tag_i $end + $var wire 1 %# simd_mask_i [0:0] $end + $var wire 1 &# in_valid_i $end + $var wire 1 '# in_ready_o $end + $var wire 1 (# flush_i $end + $var wire 16 )# result_o [15:0] $end + $var wire 5 *# status_o [4:0] $end + $var wire 1 +# tag_o $end + $var wire 1 ,# out_valid_o $end + $var wire 1 -# out_ready_i $end + $var wire 1 .# busy_o $end + $var wire 32 d# NUM_OPGROUPS [31:0] $end + $var wire 32 e# NUM_FORMATS [31:0] $end + $var wire 4 /# opgrp_in_ready [3:0] $end + $var wire 4 ` opgrp_out_valid [3:0] $end + $var wire 4 P opgrp_out_ready [3:0] $end + $var wire 4 ` opgrp_ext [3:0] $end + $var wire 4 a opgrp_busy [3:0] $end + $var wire 88 b opgrp_outputs [87:0] $end + $var wire 15 D is_boxed [14:0] $end + $var wire 1 f# simd_mask [0:0] $end + $var wire 22 e arbiter_output [21:0] $end + $scope module gen_nanbox_check[0] $end + $var wire 32 g# FP_WIDTH [31:0] $end + $upscope $end + $scope module gen_nanbox_check[1] $end + $var wire 32 h# FP_WIDTH [31:0] $end + $upscope $end + $scope module gen_nanbox_check[2] $end + $var wire 32 b# FP_WIDTH [31:0] $end + $upscope $end + $scope module gen_nanbox_check[3] $end + $var wire 32 i# FP_WIDTH [31:0] $end + $upscope $end + $scope module gen_nanbox_check[4] $end + $var wire 32 b# FP_WIDTH [31:0] $end + $upscope $end + $scope module gen_operation_groups[0] $end + $var wire 32 c# NUM_OPS [31:0] $end + $var wire 2 j# OpGroup [1:0] $end + $var wire 1 k# EnableVectors $end + $var wire 5 l# FpFmtMask [0:4] $end + $var wire 4 m# IntFmtMask [0:3] $end + $var wire 160 n# FmtPipeRegs [159:0] $end + $var wire 10 s# FmtUnitTypes [9:0] $end + $var wire 2 t# PipeConfig [1:0] $end + $var wire 1 E in_valid $end + $var wire 15 F input_boxed [14:0] $end + $scope module i_opgroup_block $end + $var wire 2 j# OpGroup [1:0] $end + $var wire 32 b# Width [31:0] $end + $var wire 1 k# EnableVectors $end + $var wire 2 _# DivSqrtSel [1:0] $end + $var wire 5 l# FpFmtMask [0:4] $end + $var wire 4 m# IntFmtMask [0:3] $end + $var wire 160 n# FmtPipeRegs [159:0] $end + $var wire 10 s# FmtUnitTypes [9:0] $end + $var wire 2 t# PipeConfig [1:0] $end + $var wire 32 `# TrueSIMDClass [31:0] $end + $var wire 32 e# NUM_FORMATS [31:0] $end + $var wire 32 c# NUM_OPERANDS [31:0] $end + $var wire 32 a# NUM_LANES [31:0] $end + $var wire 1 w" clk_i $end + $var wire 1 x" rst_ni $end + $var wire 48 y" operands_i [47:0] $end + $var wire 15 F is_boxed_i [14:0] $end + $var wire 3 {" rnd_mode_i [2:0] $end + $var wire 4 |" op_i [3:0] $end + $var wire 1 }" op_mod_i $end + $var wire 3 ~" src_fmt_i [2:0] $end + $var wire 3 !# dst_fmt_i [2:0] $end + $var wire 2 "# int_fmt_i [1:0] $end + $var wire 1 ## vectorial_op_i $end + $var wire 1 $# tag_i $end + $var wire 1 u# simd_mask_i [0:0] $end + $var wire 1 E in_valid_i $end + $var wire 1 0# in_ready_o $end + $var wire 1 (# flush_i $end + $var wire 16 f result_o [15:0] $end + $var wire 5 g status_o [4:0] $end + $var wire 1 h extension_bit_o $end + $var wire 1 i tag_o $end + $var wire 1 h out_valid_o $end + $var wire 1 Q out_ready_i $end + $var wire 1 j busy_o $end + $var wire 5 1# fmt_in_ready [4:0] $end + $var wire 5 k fmt_out_valid [4:0] $end + $var wire 5 R fmt_out_ready [4:0] $end + $var wire 5 l fmt_busy [4:0] $end + $var wire 115 m fmt_outputs [114:0] $end + $var wire 23 q arbiter_output [22:0] $end + $scope module gen_parallel_slices[0] $end + $var wire 1 k# ANY_MERGED $end + $var wire 1 k# IS_FIRST_MERGED $end + $upscope $end + $scope module gen_parallel_slices[1] $end + $var wire 1 k# ANY_MERGED $end + $var wire 1 k# IS_FIRST_MERGED $end + $upscope $end + $scope module gen_parallel_slices[2] $end + $var wire 1 k# ANY_MERGED $end + $var wire 1 k# IS_FIRST_MERGED $end + $scope module active_format $end + $var wire 3 v# FpFormat [2:0] $end + $var wire 1 2# in_valid $end + $var wire 32 a# INTERNAL_LANES [31:0] $end + $var wire 1 u# mask_slice [0:0] $end + $scope module i_fmt_slice $end + $var wire 2 j# OpGroup [1:0] $end + $var wire 3 v# FpFormat [2:0] $end + $var wire 32 b# Width [31:0] $end + $var wire 1 k# EnableVectors $end + $var wire 32 d# NumPipeRegs [31:0] $end + $var wire 2 t# PipeConfig [1:0] $end + $var wire 1 k# ExtRegEna $end + $var wire 32 `# TrueSIMDClass [31:0] $end + $var wire 32 c# NUM_OPERANDS [31:0] $end + $var wire 32 a# NUM_LANES [31:0] $end + $var wire 32 d# ExtRegEnaWidth [31:0] $end + $var wire 1 w" clk_i $end + $var wire 1 x" rst_ni $end + $var wire 48 y" operands_i [47:0] $end + $var wire 3 G is_boxed_i [2:0] $end + $var wire 3 {" rnd_mode_i [2:0] $end + $var wire 4 |" op_i [3:0] $end + $var wire 1 }" op_mod_i $end + $var wire 1 ## vectorial_op_i $end + $var wire 1 $# tag_i $end + $var wire 1 u# simd_mask_i [0:0] $end + $var wire 1 2# in_valid_i $end + $var wire 1 3# in_ready_o $end + $var wire 1 (# flush_i $end + $var wire 16 r result_o [15:0] $end + $var wire 5 s status_o [4:0] $end + $var wire 1 u# extension_bit_o $end + $var wire 1 t tag_o $end + $var wire 1 h out_valid_o $end + $var wire 1 S out_ready_i $end + $var wire 1 j busy_o $end + $var wire 4 w# reg_ena_i [3:0] $end + $var wire 32 b# FP_WIDTH [31:0] $end + $var wire 32 b# SIMD_WIDTH [31:0] $end + $var wire 1 3# lane_in_ready [0:0] $end + $var wire 1 h lane_out_valid [0:0] $end + $var wire 1 k# vectorial_op $end + $var wire 16 r slice_result [15:0] $end + $var wire 16 r slice_regular_result [15:0] $end + $var wire 16 u slice_class_result [15:0] $end + $var wire 16 x# slice_vec_class_result [15:0] $end + $var wire 5 v lane_status [4:0] $end + $var wire 1 u# lane_ext_bit [0:0] $end + $var wire 10 y# lane_class_mask [9:0] $end + $var wire 1 t lane_tags [0:0] $end + $var wire 1 w lane_masks [0:0] $end + $var wire 1 x lane_vectorial [0:0] $end + $var wire 1 j lane_busy [0:0] $end + $var wire 1 k# lane_is_class [0:0] $end + $var wire 1 x result_is_vector $end + $var wire 1 k# result_is_class $end + $var wire 32 i# CLASS_VEC_BITS [31:0] $end + $scope module gen_num_lanes[0] $end + $var wire 16 r local_result [15:0] $end + $var wire 1 u# local_sign $end + $scope module active_lane $end + $var wire 1 2# in_valid $end + $var wire 1 h out_valid $end + $var wire 1 S out_ready $end + $var wire 48 H local_operands [47:0] $end + $var wire 16 y op_result [15:0] $end + $var wire 5 z op_status [4:0] $end + $scope module lane_instance $end + $scope module i_fma $end + $var wire 3 v# FpFormat [2:0] $end + $var wire 32 d# NumPipeRegs [31:0] $end + $var wire 2 t# PipeConfig [1:0] $end + $var wire 32 b# WIDTH [31:0] $end + $var wire 32 d# ExtRegEnaWidth [31:0] $end + $var wire 1 w" clk_i $end + $var wire 1 x" rst_ni $end + $var wire 48 H operands_i [47:0] $end + $var wire 3 G is_boxed_i [2:0] $end + $var wire 3 {" rnd_mode_i [2:0] $end + $var wire 4 |" op_i [3:0] $end + $var wire 1 }" op_mod_i $end + $var wire 1 $# tag_i $end + $var wire 1 u# mask_i $end + $var wire 1 k# aux_i $end + $var wire 1 2# in_valid_i $end + $var wire 1 3# in_ready_o $end + $var wire 1 (# flush_i $end + $var wire 16 y result_o [15:0] $end + $var wire 5 z status_o [4:0] $end + $var wire 1 u# extension_bit_o $end + $var wire 1 t tag_o $end + $var wire 1 w mask_o $end + $var wire 1 x aux_o $end + $var wire 1 h out_valid_o $end + $var wire 1 S out_ready_i $end + $var wire 1 j busy_o $end + $var wire 4 w# reg_ena_i [3:0] $end + $var wire 32 e# EXP_BITS [31:0] $end + $var wire 32 z# MAN_BITS [31:0] $end + $var wire 32 {# BIAS [31:0] $end + $var wire 32 |# PRECISION_BITS [31:0] $end + $var wire 32 }# LOWER_SUM_WIDTH [31:0] $end + $var wire 32 e# LZC_RESULT_WIDTH [31:0] $end + $var wire 32 ~# EXP_WIDTH [31:0] $end + $var wire 32 !$ SHIFT_AMOUNT_WIDTH [31:0] $end + $var wire 32 "$ NUM_INP_REGS [31:0] $end + $var wire 32 #$ NUM_MID_REGS [31:0] $end + $var wire 32 "$ NUM_OUT_REGS [31:0] $end + $var wire 48 H inp_pipe_operands_q_w [47:0] $end + $var wire 3 G inp_pipe_is_boxed_q_w [2:0] $end + $var wire 3 {" inp_pipe_rnd_mode_q_w [2:0] $end + $var wire 4 |" inp_pipe_op_q_w [3:0] $end + $var wire 1 }" inp_pipe_op_mod_q_w $end + $var wire 1 $# inp_pipe_tag_q_w $end + $var wire 1 u# inp_pipe_mask_q_w $end + $var wire 1 k# inp_pipe_aux_q_w $end + $var wire 1 2# inp_pipe_valid_q_w $end + $var wire 48 { inp_pipe_operands_q [47:0] $end + $var wire 3 } inp_pipe_is_boxed_q [2:0] $end + $var wire 3 ~ inp_pipe_rnd_mode_q [2:0] $end + $var wire 4 !! inp_pipe_op_q [3:0] $end + $var wire 1 "! inp_pipe_op_mod_q [0:0] $end + $var wire 1 #! inp_pipe_tag_q [0:0] $end + $var wire 1 $! inp_pipe_mask_q [0:0] $end + $var wire 1 %! inp_pipe_aux_q [0:0] $end + $var wire 1 &! inp_pipe_valid_q [0:0] $end + $var wire 2 4# inp_pipe_ready [0:1] $end + $var wire 24 '! info_q [23:0] $end + $var wire 16 (! operand_a [15:0] $end + $var wire 16 )! operand_b [15:0] $end + $var wire 16 *! operand_c [15:0] $end + $var wire 8 +! info_a [7:0] $end + $var wire 8 ,! info_b [7:0] $end + $var wire 8 -! info_c [7:0] $end + $var wire 1 .! any_operand_inf $end + $var wire 1 /! any_operand_nan $end + $var wire 1 0! signalling_nan $end + $var wire 1 1! effective_subtraction $end + $var wire 1 2! tentative_sign $end + $var wire 16 3! special_result [15:0] $end + $var wire 5 4! special_status [4:0] $end + $var wire 1 5! result_is_special $end + $var wire 7 6! exponent_a [6:0] $end + $var wire 7 7! exponent_b [6:0] $end + $var wire 7 8! exponent_c [6:0] $end + $var wire 7 9! exponent_addend [6:0] $end + $var wire 7 :! exponent_product [6:0] $end + $var wire 7 ;! exponent_difference [6:0] $end + $var wire 7 ! mantissa_a [10:0] $end + $var wire 11 ?! mantissa_b [10:0] $end + $var wire 11 @! mantissa_c [10:0] $end + $var wire 22 A! product [21:0] $end + $var wire 37 B! product_shifted [36:0] $end + $var wire 37 D! addend_after_shift [36:0] $end + $var wire 11 F! addend_sticky_bits [10:0] $end + $var wire 1 G! sticky_before_add $end + $var wire 37 H! addend_shifted [36:0] $end + $var wire 1 J! inject_carry_in $end + $var wire 38 K! sum_pos [37:0] $end + $var wire 38 M! sum_neg [37:0] $end + $var wire 1 O! sum_carry $end + $var wire 37 P! sum [36:0] $end + $var wire 1 R! final_sign $end + $var wire 1 S! effective_subtraction_q $end + $var wire 7 T! exponent_product_q [6:0] $end + $var wire 7 U! exponent_difference_q [6:0] $end + $var wire 7 V! tentative_exponent_q [6:0] $end + $var wire 6 W! addend_shamt_q [5:0] $end + $var wire 1 X! sticky_before_add_q $end + $var wire 37 Y! sum_q [36:0] $end + $var wire 1 [! final_sign_q $end + $var wire 3 \! rnd_mode_q [2:0] $end + $var wire 1 ]! result_is_special_q $end + $var wire 16 ^! special_result_q [15:0] $end + $var wire 5 _! special_status_q [4:0] $end + $var wire 1 1! mid_pipe_eff_sub_q_w $end + $var wire 7 :! mid_pipe_exp_prod_q_w [6:0] $end + $var wire 7 ;! mid_pipe_exp_diff_q_w [6:0] $end + $var wire 7 " is_nan $end + $var wire 1 ?" is_signalling $end + $var wire 1 @" is_quiet $end + $var wire 1 A" is_zero $end + $var wire 1 B" is_subnormal $end + $upscope $end + $scope module gen_num_values[2] $end + $var wire 16 C" value [15:0] $end + $var wire 1 D" is_boxed $end + $var wire 1 E" is_normal $end + $var wire 1 F" is_inf $end + $var wire 1 G" is_nan $end + $var wire 1 H" is_signalling $end + $var wire 1 I" is_quiet $end + $var wire 1 J" is_zero $end + $var wire 1 K" is_subnormal $end + $upscope $end + $upscope $end + $scope module i_fpnew_rounding $end + $var wire 32 {# AbsWidth [31:0] $end + $var wire 15 "" abs_value_i [14:0] $end + $var wire 1 [! sign_i $end + $var wire 2 #" round_sticky_bits_i [1:0] $end + $var wire 3 \! rnd_mode_i [2:0] $end + $var wire 1 S! effective_subtraction_i $end + $var wire 15 *" abs_rounded_o [14:0] $end + $var wire 1 )" sign_o $end + $var wire 1 (" exact_zero_o $end + $var wire 1 L" round_up $end + $upscope $end + $scope module i_lzc $end + $var wire 32 }# WIDTH [31:0] $end + $var wire 1 $$ MODE $end + $var wire 32 e# CNT_WIDTH [31:0] $end + $var wire 25 r! in_i [24:0] $end + $var wire 5 s! cnt_o [4:0] $end + $var wire 1 u! empty_o $end + $scope module gen_lzc $end + $var wire 32 e# NumLevels [31:0] $end + $var wire 125 %$ index_lut [124:0] $end + $var wire 32 M" sel_nodes [31:0] $end + $var wire 160 N" index_nodes [159:0] $end + $var wire 25 S" in_tmp [24:0] $end + $scope module flip_vector $end + $scope module unnamedblk1 $end + $var wire 32 }# i [31:0] $end + $upscope $end + $upscope $end + $upscope $end + $upscope $end + $upscope $end + $upscope $end + $scope module prepare_input $end + $scope module unnamedblk1 $end + $var wire 32 )$ i [31:0] $end + $upscope $end + $upscope $end + $upscope $end + $upscope $end + $scope module output_processing $end + $var wire 5 T" temp_status [4:0] $end + $scope module unnamedblk2 $end + $var wire 32 #$ i [31:0] $end + $upscope $end + $upscope $end + $upscope $end + $scope module unnamedblk1 $end + $var wire 32 #$ b [31:0] $end + $upscope $end + $upscope $end + $upscope $end + $scope module gen_parallel_slices[3] $end + $var wire 1 k# ANY_MERGED $end + $var wire 1 k# IS_FIRST_MERGED $end + $upscope $end + $scope module gen_parallel_slices[4] $end + $var wire 1 k# ANY_MERGED $end + $var wire 1 k# IS_FIRST_MERGED $end + $upscope $end + $scope module i_arbiter $end + $var wire 32 e# NumIn [31:0] $end + $var wire 32 g# DataWidth [31:0] $end + $var wire 1 *$ ExtPrio $end + $var wire 1 $$ AxiVldRdy $end + $var wire 1 *$ LockIn $end + $var wire 1 $$ FairArb $end + $var wire 32 c# IdxWidth [31:0] $end + $var wire 1 w" clk_i $end + $var wire 1 x" rst_ni $end + $var wire 1 (# flush_i $end + $var wire 3 +$ rr_i [2:0] $end + $var wire 5 k req_i [4:0] $end + $var wire 5 R gnt_o [4:0] $end + $var wire 115 m data_i [114:0] $end + $var wire 1 h req_o $end + $var wire 1 Q gnt_i $end + $var wire 23 q data_o [22:0] $end + $var wire 3 8# idx_o [2:0] $end + $scope module gen_arbiter $end + $var wire 32 c# NumLevels [31:0] $end + $var wire 21 9# index_nodes [20:0] $end + $var wire 161 U" data_nodes [160:0] $end + $var wire 7 Y gnt_nodes [6:0] $end + $var wire 7 [" req_nodes [6:0] $end + $var wire 3 \" rr_q [2:0] $end + $var wire 5 k req_d [4:0] $end + $scope module gen_int_rr $end + $var wire 3 Z rr_d [2:0] $end + $scope module gen_fair_arb $end + $var wire 5 ]" upper_mask [4:0] $end + $var wire 5 ^" lower_mask [4:0] $end + $var wire 3 _" upper_idx [2:0] $end + $var wire 3 `" lower_idx [2:0] $end + $var wire 3 a" next_idx [2:0] $end + $var wire 1 b" upper_empty $end + $var wire 1 ,$ lower_empty $end + $scope module i_lzc_lower $end + $var wire 32 e# WIDTH [31:0] $end + $var wire 1 *$ MODE $end + $var wire 32 c# CNT_WIDTH [31:0] $end + $var wire 5 ^" in_i [4:0] $end + $var wire 3 `" cnt_o [2:0] $end + $var wire 1 c" empty_o $end + $scope module gen_lzc $end + $var wire 32 c# NumLevels [31:0] $end + $var wire 15 -$ index_lut [14:0] $end + $var wire 8 d" sel_nodes [7:0] $end + $var wire 24 e" index_nodes [23:0] $end + $var wire 5 f" in_tmp [4:0] $end + $scope module flip_vector $end + $scope module unnamedblk1 $end + $var wire 32 e# i [31:0] $end + $upscope $end + $upscope $end + $upscope $end + $upscope $end + $scope module i_lzc_upper $end + $var wire 32 e# WIDTH [31:0] $end + $var wire 1 *$ MODE $end + $var wire 32 c# CNT_WIDTH [31:0] $end + $var wire 5 ]" in_i [4:0] $end + $var wire 3 _" cnt_o [2:0] $end + $var wire 1 b" empty_o $end + $scope module gen_lzc $end + $var wire 32 c# NumLevels [31:0] $end + $var wire 15 -$ index_lut [14:0] $end + $var wire 8 g" sel_nodes [7:0] $end + $var wire 24 h" index_nodes [23:0] $end + $var wire 5 i" in_tmp [4:0] $end + $scope module flip_vector $end + $scope module unnamedblk1 $end + $var wire 32 e# i [31:0] $end + $upscope $end + $upscope $end + $upscope $end + $upscope $end + $upscope $end + $upscope $end + $scope module gen_levels[0] $end + $scope module gen_level[0] $end + $var wire 1 j" sel $end + $var wire 32 `# Idx0 [31:0] $end + $var wire 32 a# Idx1 [31:0] $end + $upscope $end + $upscope $end + $scope module gen_levels[1] $end + $scope module gen_level[0] $end + $var wire 1 u# sel $end + $var wire 32 a# Idx0 [31:0] $end + $var wire 32 c# Idx1 [31:0] $end + $upscope $end + $scope module gen_level[1] $end + $var wire 1 u# sel $end + $var wire 32 .$ Idx0 [31:0] $end + $var wire 32 e# Idx1 [31:0] $end + $upscope $end + $upscope $end + $scope module gen_levels[2] $end + $scope module gen_level[0] $end + $var wire 1 u# sel $end + $var wire 32 c# Idx0 [31:0] $end + $var wire 32 ~# Idx1 [31:0] $end + $upscope $end + $scope module gen_level[1] $end + $var wire 1 j" sel $end + $var wire 32 d# Idx0 [31:0] $end + $var wire 32 /$ Idx1 [31:0] $end + $upscope $end + $scope module gen_level[2] $end + $var wire 1 0$ sel $end + $var wire 32 e# Idx0 [31:0] $end + $var wire 32 |# Idx1 [31:0] $end + $upscope $end + $scope module gen_level[3] $end + $var wire 1 1$ sel $end + $var wire 32 !$ Idx0 [31:0] $end + $var wire 32 2$ Idx1 [31:0] $end + $upscope $end + $upscope $end + $upscope $end + $upscope $end + $upscope $end + $scope module slice_inputs $end + $scope module unnamedblk1 $end + $var wire 32 e# fmt [31:0] $end + $upscope $end + $upscope $end + $upscope $end + $scope module gen_operation_groups[1] $end + $var wire 32 .$ NUM_OPS [31:0] $end + $var wire 2 3$ OpGroup [1:0] $end + $var wire 1 k# EnableVectors $end + $var wire 5 l# FpFmtMask [0:4] $end + $var wire 4 m# IntFmtMask [0:3] $end + $var wire 160 4$ FmtPipeRegs [159:0] $end + $var wire 10 9$ FmtUnitTypes [9:0] $end + $var wire 2 t# PipeConfig [1:0] $end + $var wire 1 J in_valid $end + $var wire 10 K input_boxed [9:0] $end + $scope module i_opgroup_block $end + $var wire 2 3$ OpGroup [1:0] $end + $var wire 32 b# Width [31:0] $end + $var wire 1 k# EnableVectors $end + $var wire 2 _# DivSqrtSel [1:0] $end + $var wire 5 l# FpFmtMask [0:4] $end + $var wire 4 m# IntFmtMask [0:3] $end + $var wire 160 4$ FmtPipeRegs [159:0] $end + $var wire 10 9$ FmtUnitTypes [9:0] $end + $var wire 2 t# PipeConfig [1:0] $end + $var wire 32 `# TrueSIMDClass [31:0] $end + $var wire 32 e# NUM_FORMATS [31:0] $end + $var wire 32 .$ NUM_OPERANDS [31:0] $end + $var wire 32 a# NUM_LANES [31:0] $end + $var wire 1 w" clk_i $end + $var wire 1 x" rst_ni $end + $var wire 32 :# operands_i [31:0] $end + $var wire 10 K is_boxed_i [9:0] $end + $var wire 3 {" rnd_mode_i [2:0] $end + $var wire 4 |" op_i [3:0] $end + $var wire 1 }" op_mod_i $end + $var wire 3 ~" src_fmt_i [2:0] $end + $var wire 3 !# dst_fmt_i [2:0] $end + $var wire 2 "# int_fmt_i [1:0] $end + $var wire 1 ## vectorial_op_i $end + $var wire 1 $# tag_i $end + $var wire 1 u# simd_mask_i [0:0] $end + $var wire 1 J in_valid_i $end + $var wire 1 ;# in_ready_o $end + $var wire 1 (# flush_i $end + $var wire 16 :$ result_o [15:0] $end + $var wire 5 ;$ status_o [4:0] $end + $var wire 1 k# extension_bit_o $end + $var wire 1 k# tag_o $end + $var wire 1 k# out_valid_o $end + $var wire 1 [ out_ready_i $end + $var wire 1 k# busy_o $end + $var wire 5 ;$ fmt_in_ready [4:0] $end + $var wire 5 ;$ fmt_out_valid [4:0] $end + $var wire 5 ;$ fmt_out_ready [4:0] $end + $var wire 5 ;$ fmt_busy [4:0] $end + $var wire 115 <$ fmt_outputs [114:0] $end + $var wire 23 @$ arbiter_output [22:0] $end + $scope module gen_parallel_slices[0] $end + $var wire 1 k# ANY_MERGED $end + $var wire 1 k# IS_FIRST_MERGED $end + $upscope $end + $scope module gen_parallel_slices[1] $end + $var wire 1 k# ANY_MERGED $end + $var wire 1 k# IS_FIRST_MERGED $end + $upscope $end + $scope module gen_parallel_slices[2] $end + $var wire 1 k# ANY_MERGED $end + $var wire 1 k# IS_FIRST_MERGED $end + $upscope $end + $scope module gen_parallel_slices[3] $end + $var wire 1 k# ANY_MERGED $end + $var wire 1 k# IS_FIRST_MERGED $end + $upscope $end + $scope module gen_parallel_slices[4] $end + $var wire 1 k# ANY_MERGED $end + $var wire 1 k# IS_FIRST_MERGED $end + $upscope $end + $scope module i_arbiter $end + $var wire 32 e# NumIn [31:0] $end + $var wire 32 g# DataWidth [31:0] $end + $var wire 1 *$ ExtPrio $end + $var wire 1 $$ AxiVldRdy $end + $var wire 1 *$ LockIn $end + $var wire 1 $$ FairArb $end + $var wire 32 c# IdxWidth [31:0] $end + $var wire 1 w" clk_i $end + $var wire 1 x" rst_ni $end + $var wire 1 (# flush_i $end + $var wire 3 +$ rr_i [2:0] $end + $var wire 5 ;$ req_i [4:0] $end + $var wire 5 ;$ gnt_o [4:0] $end + $var wire 115 <$ data_i [114:0] $end + $var wire 1 k# req_o $end + $var wire 1 [ gnt_i $end + $var wire 23 @$ data_o [22:0] $end + $var wire 3 <# idx_o [2:0] $end + $scope module gen_arbiter $end + $var wire 32 c# NumLevels [31:0] $end + $var wire 21 =# index_nodes [20:0] $end + $var wire 161 A$ data_nodes [160:0] $end + $var wire 7 \ gnt_nodes [6:0] $end + $var wire 7 G$ req_nodes [6:0] $end + $var wire 3 k" rr_q [2:0] $end + $var wire 5 ;$ req_d [4:0] $end + $scope module gen_int_rr $end + $var wire 3 k" rr_d [2:0] $end + $scope module gen_fair_arb $end + $var wire 5 ;$ upper_mask [4:0] $end + $var wire 5 ;$ lower_mask [4:0] $end + $var wire 3 # upper_idx [2:0] $end + $var wire 3 $ lower_idx [2:0] $end + $var wire 3 % next_idx [2:0] $end + $var wire 1 & upper_empty $end + $var wire 1 H$ lower_empty $end + $scope module i_lzc_lower $end + $var wire 32 e# WIDTH [31:0] $end + $var wire 1 *$ MODE $end + $var wire 32 c# CNT_WIDTH [31:0] $end + $var wire 5 ;$ in_i [4:0] $end + $var wire 3 $ cnt_o [2:0] $end + $var wire 1 ' empty_o $end + $scope module gen_lzc $end + $var wire 32 c# NumLevels [31:0] $end + $var wire 15 -$ index_lut [14:0] $end + $var wire 8 ( sel_nodes [7:0] $end + $var wire 24 ) index_nodes [23:0] $end + $var wire 5 * in_tmp [4:0] $end + $scope module flip_vector $end + $scope module unnamedblk1 $end + $var wire 32 e# i [31:0] $end + $upscope $end + $upscope $end + $upscope $end + $upscope $end + $scope module i_lzc_upper $end + $var wire 32 e# WIDTH [31:0] $end + $var wire 1 *$ MODE $end + $var wire 32 c# CNT_WIDTH [31:0] $end + $var wire 5 ;$ in_i [4:0] $end + $var wire 3 # cnt_o [2:0] $end + $var wire 1 & empty_o $end + $scope module gen_lzc $end + $var wire 32 c# NumLevels [31:0] $end + $var wire 15 -$ index_lut [14:0] $end + $var wire 8 + sel_nodes [7:0] $end + $var wire 24 , index_nodes [23:0] $end + $var wire 5 - in_tmp [4:0] $end + $scope module flip_vector $end + $scope module unnamedblk1 $end + $var wire 32 e# i [31:0] $end + $upscope $end + $upscope $end + $upscope $end + $upscope $end + $upscope $end + $upscope $end + $scope module gen_levels[0] $end + $scope module gen_level[0] $end + $var wire 1 u# sel $end + $var wire 32 `# Idx0 [31:0] $end + $var wire 32 a# Idx1 [31:0] $end + $upscope $end + $upscope $end + $scope module gen_levels[1] $end + $scope module gen_level[0] $end + $var wire 1 u# sel $end + $var wire 32 a# Idx0 [31:0] $end + $var wire 32 c# Idx1 [31:0] $end + $upscope $end + $scope module gen_level[1] $end + $var wire 1 u# sel $end + $var wire 32 .$ Idx0 [31:0] $end + $var wire 32 e# Idx1 [31:0] $end + $upscope $end + $upscope $end + $scope module gen_levels[2] $end + $scope module gen_level[0] $end + $var wire 1 u# sel $end + $var wire 32 c# Idx0 [31:0] $end + $var wire 32 ~# Idx1 [31:0] $end + $upscope $end + $scope module gen_level[1] $end + $var wire 1 u# sel $end + $var wire 32 d# Idx0 [31:0] $end + $var wire 32 /$ Idx1 [31:0] $end + $upscope $end + $scope module gen_level[2] $end + $var wire 1 I$ sel $end + $var wire 32 e# Idx0 [31:0] $end + $var wire 32 |# Idx1 [31:0] $end + $upscope $end + $scope module gen_level[3] $end + $var wire 1 J$ sel $end + $var wire 32 !$ Idx0 [31:0] $end + $var wire 32 2$ Idx1 [31:0] $end + $upscope $end + $upscope $end + $upscope $end + $upscope $end + $upscope $end + $scope module slice_inputs $end + $scope module unnamedblk1 $end + $var wire 32 e# fmt [31:0] $end + $upscope $end + $upscope $end + $upscope $end + $scope module gen_operation_groups[2] $end + $var wire 32 .$ NUM_OPS [31:0] $end + $var wire 2 K$ OpGroup [1:0] $end + $var wire 1 k# EnableVectors $end + $var wire 5 l# FpFmtMask [0:4] $end + $var wire 4 m# IntFmtMask [0:3] $end + $var wire 160 4$ FmtPipeRegs [159:0] $end + $var wire 10 9$ FmtUnitTypes [9:0] $end + $var wire 2 t# PipeConfig [1:0] $end + $var wire 1 L in_valid $end + $var wire 10 M input_boxed [9:0] $end + $scope module i_opgroup_block $end + $var wire 2 K$ OpGroup [1:0] $end + $var wire 32 b# Width [31:0] $end + $var wire 1 k# EnableVectors $end + $var wire 2 _# DivSqrtSel [1:0] $end + $var wire 5 l# FpFmtMask [0:4] $end + $var wire 4 m# IntFmtMask [0:3] $end + $var wire 160 4$ FmtPipeRegs [159:0] $end + $var wire 10 9$ FmtUnitTypes [9:0] $end + $var wire 2 t# PipeConfig [1:0] $end + $var wire 32 `# TrueSIMDClass [31:0] $end + $var wire 32 e# NUM_FORMATS [31:0] $end + $var wire 32 .$ NUM_OPERANDS [31:0] $end + $var wire 32 a# NUM_LANES [31:0] $end + $var wire 1 w" clk_i $end + $var wire 1 x" rst_ni $end + $var wire 32 :# operands_i [31:0] $end + $var wire 10 M is_boxed_i [9:0] $end + $var wire 3 {" rnd_mode_i [2:0] $end + $var wire 4 |" op_i [3:0] $end + $var wire 1 }" op_mod_i $end + $var wire 3 ~" src_fmt_i [2:0] $end + $var wire 3 !# dst_fmt_i [2:0] $end + $var wire 2 "# int_fmt_i [1:0] $end + $var wire 1 ## vectorial_op_i $end + $var wire 1 $# tag_i $end + $var wire 1 u# simd_mask_i [0:0] $end + $var wire 1 L in_valid_i $end + $var wire 1 ># in_ready_o $end + $var wire 1 (# flush_i $end + $var wire 16 :$ result_o [15:0] $end + $var wire 5 ;$ status_o [4:0] $end + $var wire 1 k# extension_bit_o $end + $var wire 1 k# tag_o $end + $var wire 1 k# out_valid_o $end + $var wire 1 k# out_ready_i $end + $var wire 1 k# busy_o $end + $var wire 5 ;$ fmt_in_ready [4:0] $end + $var wire 5 ;$ fmt_out_valid [4:0] $end + $var wire 5 ;$ fmt_out_ready [4:0] $end + $var wire 5 ;$ fmt_busy [4:0] $end + $var wire 115 <$ fmt_outputs [114:0] $end + $var wire 23 @$ arbiter_output [22:0] $end + $scope module gen_parallel_slices[0] $end + $var wire 1 k# ANY_MERGED $end + $var wire 1 k# IS_FIRST_MERGED $end + $upscope $end + $scope module gen_parallel_slices[1] $end + $var wire 1 k# ANY_MERGED $end + $var wire 1 k# IS_FIRST_MERGED $end + $upscope $end + $scope module gen_parallel_slices[2] $end + $var wire 1 k# ANY_MERGED $end + $var wire 1 k# IS_FIRST_MERGED $end + $upscope $end + $scope module gen_parallel_slices[3] $end + $var wire 1 k# ANY_MERGED $end + $var wire 1 k# IS_FIRST_MERGED $end + $upscope $end + $scope module gen_parallel_slices[4] $end + $var wire 1 k# ANY_MERGED $end + $var wire 1 k# IS_FIRST_MERGED $end + $upscope $end + $scope module i_arbiter $end + $var wire 32 e# NumIn [31:0] $end + $var wire 32 g# DataWidth [31:0] $end + $var wire 1 *$ ExtPrio $end + $var wire 1 $$ AxiVldRdy $end + $var wire 1 *$ LockIn $end + $var wire 1 $$ FairArb $end + $var wire 32 c# IdxWidth [31:0] $end + $var wire 1 w" clk_i $end + $var wire 1 x" rst_ni $end + $var wire 1 (# flush_i $end + $var wire 3 +$ rr_i [2:0] $end + $var wire 5 ;$ req_i [4:0] $end + $var wire 5 ;$ gnt_o [4:0] $end + $var wire 115 <$ data_i [114:0] $end + $var wire 1 k# req_o $end + $var wire 1 k# gnt_i $end + $var wire 23 @$ data_o [22:0] $end + $var wire 3 ?# idx_o [2:0] $end + $scope module gen_arbiter $end + $var wire 32 c# NumLevels [31:0] $end + $var wire 21 @# index_nodes [20:0] $end + $var wire 161 A$ data_nodes [160:0] $end + $var wire 7 G$ gnt_nodes [6:0] $end + $var wire 7 G$ req_nodes [6:0] $end + $var wire 3 l" rr_q [2:0] $end + $var wire 5 ;$ req_d [4:0] $end + $scope module gen_int_rr $end + $var wire 3 l" rr_d [2:0] $end + $scope module gen_fair_arb $end + $var wire 5 ;$ upper_mask [4:0] $end + $var wire 5 ;$ lower_mask [4:0] $end + $var wire 3 . upper_idx [2:0] $end + $var wire 3 / lower_idx [2:0] $end + $var wire 3 0 next_idx [2:0] $end + $var wire 1 1 upper_empty $end + $var wire 1 L$ lower_empty $end + $scope module i_lzc_lower $end + $var wire 32 e# WIDTH [31:0] $end + $var wire 1 *$ MODE $end + $var wire 32 c# CNT_WIDTH [31:0] $end + $var wire 5 ;$ in_i [4:0] $end + $var wire 3 / cnt_o [2:0] $end + $var wire 1 2 empty_o $end + $scope module gen_lzc $end + $var wire 32 c# NumLevels [31:0] $end + $var wire 15 -$ index_lut [14:0] $end + $var wire 8 3 sel_nodes [7:0] $end + $var wire 24 4 index_nodes [23:0] $end + $var wire 5 5 in_tmp [4:0] $end + $scope module flip_vector $end + $scope module unnamedblk1 $end + $var wire 32 e# i [31:0] $end + $upscope $end + $upscope $end + $upscope $end + $upscope $end + $scope module i_lzc_upper $end + $var wire 32 e# WIDTH [31:0] $end + $var wire 1 *$ MODE $end + $var wire 32 c# CNT_WIDTH [31:0] $end + $var wire 5 ;$ in_i [4:0] $end + $var wire 3 . cnt_o [2:0] $end + $var wire 1 1 empty_o $end + $scope module gen_lzc $end + $var wire 32 c# NumLevels [31:0] $end + $var wire 15 -$ index_lut [14:0] $end + $var wire 8 6 sel_nodes [7:0] $end + $var wire 24 7 index_nodes [23:0] $end + $var wire 5 8 in_tmp [4:0] $end + $scope module flip_vector $end + $scope module unnamedblk1 $end + $var wire 32 e# i [31:0] $end + $upscope $end + $upscope $end + $upscope $end + $upscope $end + $upscope $end + $upscope $end + $scope module gen_levels[0] $end + $scope module gen_level[0] $end + $var wire 1 u# sel $end + $var wire 32 `# Idx0 [31:0] $end + $var wire 32 a# Idx1 [31:0] $end + $upscope $end + $upscope $end + $scope module gen_levels[1] $end + $scope module gen_level[0] $end + $var wire 1 u# sel $end + $var wire 32 a# Idx0 [31:0] $end + $var wire 32 c# Idx1 [31:0] $end + $upscope $end + $scope module gen_level[1] $end + $var wire 1 u# sel $end + $var wire 32 .$ Idx0 [31:0] $end + $var wire 32 e# Idx1 [31:0] $end + $upscope $end + $upscope $end + $scope module gen_levels[2] $end + $scope module gen_level[0] $end + $var wire 1 u# sel $end + $var wire 32 c# Idx0 [31:0] $end + $var wire 32 ~# Idx1 [31:0] $end + $upscope $end + $scope module gen_level[1] $end + $var wire 1 u# sel $end + $var wire 32 d# Idx0 [31:0] $end + $var wire 32 /$ Idx1 [31:0] $end + $upscope $end + $scope module gen_level[2] $end + $var wire 1 M$ sel $end + $var wire 32 e# Idx0 [31:0] $end + $var wire 32 |# Idx1 [31:0] $end + $upscope $end + $scope module gen_level[3] $end + $var wire 1 N$ sel $end + $var wire 32 !$ Idx0 [31:0] $end + $var wire 32 2$ Idx1 [31:0] $end + $upscope $end + $upscope $end + $upscope $end + $upscope $end + $upscope $end + $scope module slice_inputs $end + $scope module unnamedblk1 $end + $var wire 32 e# fmt [31:0] $end + $upscope $end + $upscope $end + $upscope $end + $scope module gen_operation_groups[3] $end + $var wire 32 c# NUM_OPS [31:0] $end + $var wire 2 O$ OpGroup [1:0] $end + $var wire 1 k# EnableVectors $end + $var wire 5 l# FpFmtMask [0:4] $end + $var wire 4 m# IntFmtMask [0:3] $end + $var wire 160 4$ FmtPipeRegs [159:0] $end + $var wire 10 9$ FmtUnitTypes [9:0] $end + $var wire 2 t# PipeConfig [1:0] $end + $var wire 1 N in_valid $end + $var wire 15 O input_boxed [14:0] $end + $scope module i_opgroup_block $end + $var wire 2 O$ OpGroup [1:0] $end + $var wire 32 b# Width [31:0] $end + $var wire 1 k# EnableVectors $end + $var wire 2 _# DivSqrtSel [1:0] $end + $var wire 5 l# FpFmtMask [0:4] $end + $var wire 4 m# IntFmtMask [0:3] $end + $var wire 160 4$ FmtPipeRegs [159:0] $end + $var wire 10 9$ FmtUnitTypes [9:0] $end + $var wire 2 t# PipeConfig [1:0] $end + $var wire 32 `# TrueSIMDClass [31:0] $end + $var wire 32 e# NUM_FORMATS [31:0] $end + $var wire 32 c# NUM_OPERANDS [31:0] $end + $var wire 32 a# NUM_LANES [31:0] $end + $var wire 1 w" clk_i $end + $var wire 1 x" rst_ni $end + $var wire 48 y" operands_i [47:0] $end + $var wire 15 O is_boxed_i [14:0] $end + $var wire 3 {" rnd_mode_i [2:0] $end + $var wire 4 |" op_i [3:0] $end + $var wire 1 }" op_mod_i $end + $var wire 3 ~" src_fmt_i [2:0] $end + $var wire 3 !# dst_fmt_i [2:0] $end + $var wire 2 "# int_fmt_i [1:0] $end + $var wire 1 ## vectorial_op_i $end + $var wire 1 $# tag_i $end + $var wire 1 u# simd_mask_i [0:0] $end + $var wire 1 N in_valid_i $end + $var wire 1 A# in_ready_o $end + $var wire 1 (# flush_i $end + $var wire 16 :$ result_o [15:0] $end + $var wire 5 ;$ status_o [4:0] $end + $var wire 1 k# extension_bit_o $end + $var wire 1 k# tag_o $end + $var wire 1 k# out_valid_o $end + $var wire 1 ] out_ready_i $end + $var wire 1 k# busy_o $end + $var wire 5 ;$ fmt_in_ready [4:0] $end + $var wire 5 ;$ fmt_out_valid [4:0] $end + $var wire 5 ;$ fmt_out_ready [4:0] $end + $var wire 5 ;$ fmt_busy [4:0] $end + $var wire 115 <$ fmt_outputs [114:0] $end + $var wire 23 @$ arbiter_output [22:0] $end + $scope module gen_parallel_slices[0] $end + $var wire 1 k# ANY_MERGED $end + $var wire 1 k# IS_FIRST_MERGED $end + $upscope $end + $scope module gen_parallel_slices[1] $end + $var wire 1 k# ANY_MERGED $end + $var wire 1 k# IS_FIRST_MERGED $end + $upscope $end + $scope module gen_parallel_slices[2] $end + $var wire 1 k# ANY_MERGED $end + $var wire 1 k# IS_FIRST_MERGED $end + $upscope $end + $scope module gen_parallel_slices[3] $end + $var wire 1 k# ANY_MERGED $end + $var wire 1 k# IS_FIRST_MERGED $end + $upscope $end + $scope module gen_parallel_slices[4] $end + $var wire 1 k# ANY_MERGED $end + $var wire 1 k# IS_FIRST_MERGED $end + $upscope $end + $scope module i_arbiter $end + $var wire 32 e# NumIn [31:0] $end + $var wire 32 g# DataWidth [31:0] $end + $var wire 1 *$ ExtPrio $end + $var wire 1 $$ AxiVldRdy $end + $var wire 1 *$ LockIn $end + $var wire 1 $$ FairArb $end + $var wire 32 c# IdxWidth [31:0] $end + $var wire 1 w" clk_i $end + $var wire 1 x" rst_ni $end + $var wire 1 (# flush_i $end + $var wire 3 +$ rr_i [2:0] $end + $var wire 5 ;$ req_i [4:0] $end + $var wire 5 ;$ gnt_o [4:0] $end + $var wire 115 <$ data_i [114:0] $end + $var wire 1 k# req_o $end + $var wire 1 ] gnt_i $end + $var wire 23 @$ data_o [22:0] $end + $var wire 3 B# idx_o [2:0] $end + $scope module gen_arbiter $end + $var wire 32 c# NumLevels [31:0] $end + $var wire 21 C# index_nodes [20:0] $end + $var wire 161 A$ data_nodes [160:0] $end + $var wire 7 ^ gnt_nodes [6:0] $end + $var wire 7 G$ req_nodes [6:0] $end + $var wire 3 m" rr_q [2:0] $end + $var wire 5 ;$ req_d [4:0] $end + $scope module gen_int_rr $end + $var wire 3 m" rr_d [2:0] $end + $scope module gen_fair_arb $end + $var wire 5 ;$ upper_mask [4:0] $end + $var wire 5 ;$ lower_mask [4:0] $end + $var wire 3 9 upper_idx [2:0] $end + $var wire 3 : lower_idx [2:0] $end + $var wire 3 ; next_idx [2:0] $end + $var wire 1 < upper_empty $end + $var wire 1 P$ lower_empty $end + $scope module i_lzc_lower $end + $var wire 32 e# WIDTH [31:0] $end + $var wire 1 *$ MODE $end + $var wire 32 c# CNT_WIDTH [31:0] $end + $var wire 5 ;$ in_i [4:0] $end + $var wire 3 : cnt_o [2:0] $end + $var wire 1 = empty_o $end + $scope module gen_lzc $end + $var wire 32 c# NumLevels [31:0] $end + $var wire 15 -$ index_lut [14:0] $end + $var wire 8 > sel_nodes [7:0] $end + $var wire 24 ? index_nodes [23:0] $end + $var wire 5 @ in_tmp [4:0] $end + $scope module flip_vector $end + $scope module unnamedblk1 $end + $var wire 32 e# i [31:0] $end + $upscope $end + $upscope $end + $upscope $end + $upscope $end + $scope module i_lzc_upper $end + $var wire 32 e# WIDTH [31:0] $end + $var wire 1 *$ MODE $end + $var wire 32 c# CNT_WIDTH [31:0] $end + $var wire 5 ;$ in_i [4:0] $end + $var wire 3 9 cnt_o [2:0] $end + $var wire 1 < empty_o $end + $scope module gen_lzc $end + $var wire 32 c# NumLevels [31:0] $end + $var wire 15 -$ index_lut [14:0] $end + $var wire 8 A sel_nodes [7:0] $end + $var wire 24 B index_nodes [23:0] $end + $var wire 5 C in_tmp [4:0] $end + $scope module flip_vector $end + $scope module unnamedblk1 $end + $var wire 32 e# i [31:0] $end + $upscope $end + $upscope $end + $upscope $end + $upscope $end + $upscope $end + $upscope $end + $scope module gen_levels[0] $end + $scope module gen_level[0] $end + $var wire 1 u# sel $end + $var wire 32 `# Idx0 [31:0] $end + $var wire 32 a# Idx1 [31:0] $end + $upscope $end + $upscope $end + $scope module gen_levels[1] $end + $scope module gen_level[0] $end + $var wire 1 u# sel $end + $var wire 32 a# Idx0 [31:0] $end + $var wire 32 c# Idx1 [31:0] $end + $upscope $end + $scope module gen_level[1] $end + $var wire 1 u# sel $end + $var wire 32 .$ Idx0 [31:0] $end + $var wire 32 e# Idx1 [31:0] $end + $upscope $end + $upscope $end + $scope module gen_levels[2] $end + $scope module gen_level[0] $end + $var wire 1 u# sel $end + $var wire 32 c# Idx0 [31:0] $end + $var wire 32 ~# Idx1 [31:0] $end + $upscope $end + $scope module gen_level[1] $end + $var wire 1 u# sel $end + $var wire 32 d# Idx0 [31:0] $end + $var wire 32 /$ Idx1 [31:0] $end + $upscope $end + $scope module gen_level[2] $end + $var wire 1 Q$ sel $end + $var wire 32 e# Idx0 [31:0] $end + $var wire 32 |# Idx1 [31:0] $end + $upscope $end + $scope module gen_level[3] $end + $var wire 1 R$ sel $end + $var wire 32 !$ Idx0 [31:0] $end + $var wire 32 2$ Idx1 [31:0] $end + $upscope $end + $upscope $end + $upscope $end + $upscope $end + $upscope $end + $scope module slice_inputs $end + $scope module unnamedblk1 $end + $var wire 32 e# fmt [31:0] $end + $upscope $end + $upscope $end + $upscope $end + $scope module i_arbiter $end + $var wire 32 d# NumIn [31:0] $end + $var wire 32 g# DataWidth [31:0] $end + $var wire 1 *$ ExtPrio $end + $var wire 1 $$ AxiVldRdy $end + $var wire 1 *$ LockIn $end + $var wire 1 $$ FairArb $end + $var wire 32 .$ IdxWidth [31:0] $end + $var wire 1 w" clk_i $end + $var wire 1 x" rst_ni $end + $var wire 1 (# flush_i $end + $var wire 2 S$ rr_i [1:0] $end + $var wire 4 ` req_i [3:0] $end + $var wire 4 P gnt_o [3:0] $end + $var wire 88 b data_i [87:0] $end + $var wire 1 ,# req_o $end + $var wire 1 -# gnt_i $end + $var wire 22 e data_o [21:0] $end + $var wire 2 D# idx_o [1:0] $end + $scope module gen_arbiter $end + $var wire 32 .$ NumLevels [31:0] $end + $var wire 6 E# index_nodes [5:0] $end + $var wire 66 n" data_nodes [65:0] $end + $var wire 3 F# gnt_nodes [2:0] $end + $var wire 3 q" req_nodes [2:0] $end + $var wire 2 r" rr_q [1:0] $end + $var wire 4 ` req_d [3:0] $end + $scope module gen_int_rr $end + $var wire 2 _ rr_d [1:0] $end + $scope module gen_fair_arb $end + $var wire 4 w# upper_mask [3:0] $end + $var wire 4 ` lower_mask [3:0] $end + $var wire 2 T$ upper_idx [1:0] $end + $var wire 2 s" lower_idx [1:0] $end + $var wire 2 s" next_idx [1:0] $end + $var wire 1 U$ upper_empty $end + $var wire 1 V$ lower_empty $end + $scope module i_lzc_lower $end + $var wire 32 d# WIDTH [31:0] $end + $var wire 1 *$ MODE $end + $var wire 32 .$ CNT_WIDTH [31:0] $end + $var wire 4 ` in_i [3:0] $end + $var wire 2 s" cnt_o [1:0] $end + $var wire 1 t" empty_o $end + $scope module gen_lzc $end + $var wire 32 .$ NumLevels [31:0] $end + $var wire 8 W$ index_lut [7:0] $end + $var wire 4 u" sel_nodes [3:0] $end + $var wire 8 v" index_nodes [7:0] $end + $var wire 4 ` in_tmp [3:0] $end + $scope module flip_vector $end + $scope module unnamedblk1 $end + $var wire 32 d# i [31:0] $end + $upscope $end + $upscope $end + $upscope $end + $upscope $end + $scope module i_lzc_upper $end + $var wire 32 d# WIDTH [31:0] $end + $var wire 1 *$ MODE $end + $var wire 32 .$ CNT_WIDTH [31:0] $end + $var wire 4 w# in_i [3:0] $end + $var wire 2 T$ cnt_o [1:0] $end + $var wire 1 U$ empty_o $end + $scope module gen_lzc $end + $var wire 32 .$ NumLevels [31:0] $end + $var wire 8 W$ index_lut [7:0] $end + $var wire 4 X$ sel_nodes [3:0] $end + $var wire 8 Y$ index_nodes [7:0] $end + $var wire 4 w# in_tmp [3:0] $end + $scope module flip_vector $end + $scope module unnamedblk1 $end + $var wire 32 d# i [31:0] $end + $upscope $end + $upscope $end + $upscope $end + $upscope $end + $upscope $end + $upscope $end + $scope module gen_levels[0] $end + $scope module gen_level[0] $end + $var wire 1 j" sel $end + $var wire 32 `# Idx0 [31:0] $end + $var wire 32 a# Idx1 [31:0] $end + $upscope $end + $upscope $end + $scope module gen_levels[1] $end + $scope module gen_level[0] $end + $var wire 1 j" sel $end + $var wire 32 a# Idx0 [31:0] $end + $var wire 32 c# Idx1 [31:0] $end + $upscope $end + $scope module gen_level[1] $end + $var wire 1 u# sel $end + $var wire 32 .$ Idx0 [31:0] $end + $var wire 32 e# Idx1 [31:0] $end + $upscope $end + $upscope $end + $upscope $end + $upscope $end + $upscope $end + $upscope $end +$enddefinitions $end + + +#0 +b000 # +b000 $ +b000 % +1& +1' +b00000000 ( +b000000100011001000011000 ) +b00000 * +b00000000 + +b000000100011001000011000 , +b00000 - +b000 . +b000 / +b000 0 +11 +12 +b00000000 3 +b000000100011001000011000 4 +b00000 5 +b00000000 6 +b000000100011001000011000 7 +b00000 8 +b000 9 +b000 : +b000 ; +1< +1= +b00000000 > +b000000100011001000011000 ? +b00000 @ +b00000000 A +b000000100011001000011000 B +b00000 C +b111000111111111 D +0E +b111000111111111 F +b111 G +b000000000000000000000000000000000000000000000000 H +0J +b1100111111 K +0L +b1100111111 M +0N +b111000111111111 O +b0000 P +0Q +b00000 R +0S +b111 T +b10 U +0V +0W +0X +b0000000 Y +b000 Z +0[ +b0000000 \ +0] +b0000000 ^ +b00 _ +b0000 ` +b0000 a +b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 b +b0000000000000000000000 e +b0000000000000000 f +b00000 g +0h +0i +0j +b00000 k +b00000 l +b1111111111111111111111111111111111111111111111111111111111111100000101111111111111111111111111111111111111111111111 m +b00000000000000000000000 q +b1111111111111111 r +b00000 s +0t +b0000000000000001 u +b00000 v +0w +0x +b0000000000000000 y +b00000 z +b000000000000000000000000000000000000000000000000 { +b000 } +b000 ~ +b0000 !! +0"! +0#! +0$! +0%! +0&! +b000010100000101000001010 '! +b0000000000000000 (! +b0000000000000000 )! +b0000000000000000 *! +b00001010 +! +b00001010 ,! +b00001010 -! +0.! +1/! +00! +01! +02! +b0111111000000000 3! +b00000 4! +15! +b0000000 6! +b0000000 7! +b0000000 8! +b0000001 9! +b1110001 :! +b0010000 ;! +b0000001 ! +b00000000000 ?! +b00000000000 @! +b0000000000000000000000 A! +b0000000000000000000000000000000000000 B! +b0000000000000000000000000000000000000 D! +b00000000000 F! +0G! +b0000000000000000000000000000000000000 H! +0J! +b00000000000000000000000000000000000000 K! +b00000000000000000000000000000000000000 M! +0O! +b0000000000000000000000000000000000000 P! +0R! +0S! +b0000000 T! +b0000000 U! +b0000000 V! +b000000 W! +0X! +b0000000000000000000000000000000000000 Y! +0[! +b000 \! +0]! +b0000000000000000 ^! +b00000 _! +b00 `! +b00000000000000 a! +b00000000000000 b! +b00000000000000 c! +b000000000000 d! +b00 e! +b00000000000000000000000000000000000000000000000000000000000000000000000000 f! +b00 i! +b000000 j! +b00 k! +b00000000000000000000000000000000 l! +b0000000000 m! +b00 n! +b00 o! +b00 p! +b00 q! +b0000000000000000000000000 r! +b00000 s! +b000000 t! +1u! +b001101 v! +b0000000 w! +b00000000000000000000000000000000000000 x! +b000000000000 z! +b0000000000000000000000000 {! +0|! +b0000000 }! +b00000 ~! +b0000000000 !" +b000000000000000 "" +b00 #" +0$" +0%" +1&" +1'" +1(" +0)" +b000000000000000 *" +b0000000000000000 +" +b0000000000000000 ," +0-" +0." +0/" +00" +b0000000000000000 1" +02" +03" +04" +15" +06" +17" +08" +09" +b0000000000000000 :" +0;" +0<" +0=" +1>" +0?" +1@" +0A" +0B" +b0000000000000000 C" +0D" +0E" +0F" +1G" +0H" +1I" +0J" +0K" +0L" +b00000000000000000000000000000000 M" +b0000000000000000000011000101111010110011100010111101101010110100100111001010001100001000000000010111100110111101011001110001100000101110111100111000000111100000 N" +b0000000000000000000000000 S" +b00000 T" +b00000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000001111111111111111111111100000000000000000000000 U" +b0000000 [" +b000 \" +b00000 ]" +b00000 ^" +b000 _" +b000 `" +b000 a" +1b" +1c" +b00000000 d" +b000000100011001000011000 e" +b00000 f" +b00000000 g" +b000000100011001000011000 h" +b00000 i" +1j" +b000 k" +b000 l" +b000 m" +b000000000000000000000000000000000000000000000000000000000000000000 n" +b000 q" +b00 r" +b11 s" +1t" +b0000 u" +b00110111 v" +1w" +1x" +b000000000000000000000000000000000000000000000000 y" +b000 {" +b0000 |" +0}" +b000 ~" +b000 !# +b00 "# +0## +0$# +0%# +0&# +0'# +0(# +b0000000000000000 )# +b00000 *# +0+# +0,# +0-# +0.# +b0000 /# +00# +b00100 1# +02# +13# +b11 4# +b00000 5# +b00000 6# +07# +b110 8# +b000000001001010011110 9# +b00000000000000000000000000000000 :# +0;# +b110 <# +b000000001001010011110 =# +0># +b110 ?# +b000000001001010011110 @# +0A# +b110 B# +b000000001001010011110 C# +b11 D# +b010111 E# +b000 F# +b0000000000000000000000000001000001001000000 G# +b0000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010101010100000000000000000000000000000011 I# +b10 _# +b00000000000000000000000000000000 `# +b00000000000000000000000000000001 a# +b00000000000000000000000000010000 b# +b00000000000000000000000000000011 c# +b00000000000000000000000000000100 d# +b00000000000000000000000000000101 e# +1f# +b00000000000000000000000000100000 g# +b00000000000000000000000001000000 h# +b00000000000000000000000000001000 i# +b00 j# +0k# +b00100 l# +b0000 m# +b0000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100 n# +b0101010101 s# +b11 t# +1u# +b010 v# +b0000 w# +b0000000010000001 x# +b0000000001 y# +b00000000000000000000000000001010 z# +b00000000000000000000000000001111 {# +b00000000000000000000000000001011 |# +b00000000000000000000000000011001 }# +b00000000000000000000000000000111 ~# +b00000000000000000000000000000110 !$ +b00000000000000000000000000000000 "$ +b00000000000000000000000000000001 #$ +1$$ +b11000101111011010101101001001110010100011000001111011100110101100010110101001001010000011100110001010010000011000100000100000 %$ +b00000000000000000000000000000011 )$ +0*$ +b000 +$ +0,$ +b100011010001000 -$ +b00000000000000000000000000000010 .$ +b00000000000000000000000000001001 /$ +00$ +01$ +b00000000000000000000000000001101 2$ +b01 3$ +b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 4$ +b0000000000 9$ +b0000000000000000 :$ +b00000 ;$ +b1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 <$ +b00000000000000000000000 @$ +b00000000000000000000000111111111111111111111111111111111111111111111111111111111111111111111000000000000000000000001111111111111111111111100000000000000000000000 A$ +b0000000 G$ +0H$ +0I$ +0J$ +b10 K$ +0L$ +0M$ +0N$ +b11 O$ +0P$ +0Q$ +0R$ +b00 S$ +b11 T$ +1U$ +0V$ +b11100100 W$ +b0000 X$ +b00110111 Y$ +b00000000000000000000000000001000000000000000000000000000000101110000000000000000000000000000101100000000000000000000000000110100000000000000000000000000000001010000000000000000000000000000101000000000000000000000000000000101000000000000000000000000000000100000000000000000000000000000100000000000000000000000000000000111 Z$ +b11000 d$ +b0000000000000000000000000100000001110000011 e$ +b0000000000000000000000000100000011110000010 g$ +b0000000000000000000000000010000001100000010 i$ +b0000000000000000000000000100000011111111111 k$ +b0000000000000000000000000010000011101111110 m$ +b0000000000000000000000000010000011100010110 o$ +b0000000000000000000000000001000001001000000 q$ +b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010101010110101010100101010101101010101000 s$ +b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010101010100000000000101010101101010101000 +% +b0000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010101010100000000000000000000000000000011 A% +b00000000000000000000000000001000000000000000000000000000000101110000000000000000000000000000101100000000000000000000000000110100000000000000000000000000000001010000000000000000000000000000101000000000000000000000000000000101000000000000000000000000000000100000000000000000000000000000100000000000000000000000000000000111 W% +b0000000000000000000000000100000001110000011 a% +b0000000000000000000000000100000011110000010 c% +b0000000000000000000000000010000001100000010 e% +b0000000000000000000000000100000011111111111 g% +b0000000000000000000000000010000011101111110 i% +b0000000000000000000000000010000011100010110 k% +b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010101010110101010100101010101101010101000 m% +b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010101010100000000000101010101101010101000 %& +#1 +0w" +#2 +1w" +#3 +b1000 P +1] +b1000101 ^ +0w" +0x" +b0011 |" +b010 ~" +b010 !# +b10 "# +1%# +1-# +b101 F# +#4 +1w" +#5 +0w" +#6 +1w" +1x" +#7 +0w" +#8 +1w" +b000000000000000001111110111111010001111010111110 y" +1&# +b01111110111111010001111010111110 :# +#9 +1E +b000000000000000001111110111111010001111010111110 H +0w" +1'# +b0001 /# +10# +12# +#10 +b0001 a +1j +b00100 l +1&! +1w" +b000000000000000010100110000010110001111111001101 y" +1.# +17# +b10100110000010110001111111001101 :# +#11 +b000000000000000010100110000010110001111111001101 H +0w" +#12 +1V +b000000000000000010100110000010110001111111001101 { +b111 } +b0011 !! +1$! +b001000011000000110000001 '! +b0001111111001101 (! +b1010011000001011 )! +b1000000000000000 *! +b10000001 +! +b10000001 ,! +b00100001 -! +0/! +12! +05! +b0000111 6! +b0001001 7! +b0000001 :! +b0000000 ;! +b001110 =! +b11111001101 >! +b11000001011 ?! +b1011110010001111001111 A! +b0000000000000101111001000111100111100 B! +b00000000000000101111001000111100111100 K! +b11111111111111010000110111000011000100 M! +b0000000000000101111001000111100111100 P! +1R! +b10 q! +b0001111111001101 1" +12" +13" +05" +07" +b1010011000001011 :" +1;" +1<" +0>" +0@" +1D" +0G" +0I" +1J" +1w" +b000000000000000001010001111100111001000000011110 y" +b01010001111100111001000000011110 :# +#13 +b000000000000000001010001111100111001000000011110 H +0w" +#14 +1W +b000000000000000001010001111100111001000000011110 { +b1001000000011110 (! +b0101000111110011 )! +b0000100 6! +b0010100 7! +b0001001 :! +b1111000 ;! +b0001001 ! +b10111110011 ?! +b0110000111111001111010 A! +b0000000000000011000011111100111101000 B! +b00000000000000011000011111100111101000 K! +b11111111111111100111100000011000011000 M! +b0000000000000011000011111100111101000 P! +b00000010000000 a! +b00000010000000 c! +b001110000000 d! +b00000000000001011110010001111001111000000000000000000000000000000000000000 f! +b10 i! +b01111110000000000000000000000000 l! +b10 o! +b11 q! +10" +b1001000000011110 1" +b0101000111110011 :" +1w" +b000000000000000001001110000111001010011000011000 y" +b01001110000111001010011000011000 :# +#15 +b000000000000000001001110000111001010011000011000 H +0w" +#16 +b0001 P +1Q +b00100 R +1S +b11 U +1X +b0010011 Y +b010 Z +0] +b0000000 ^ +b0001 ` +1h +b00100 k +b1111111111111111111111111111111111111111111111000000000000000000000101111111111111111111111111111111111111111111111 m +b00000000000000000000010 q +b0000000000000000 r +b000000000000000001001110000111001010011000011000 { +b1010011000011000 (! +b0100111000011100 )! +b0001001 6! +b0010011 7! +b0001101 :! +b1110100 ;! +b0001101 ! +b11000011100 ?! +b1001010011101010100000 A! +b0000000000000100101001110101010000000 B! +b00000000000000100101001110101010000000 K! +b11111111111111011010110001010110000000 M! +b0000000000000100101001110101010000000 P! +b0000001 T! +b0000001 V! +b001110 W! +b0000000000000101111001000111100111100 Y! +1[! +b0111111000000000 ^! +b00010010000001 a! +b11110000000000 b! +b00010010000001 c! +b010110001110 d! +b00000000000000110000111111001111010000000000000000101111001000111100111100 f! +b11 i! +b01111110000000000111111000000000 l! +b11 o! +b0101111001000111100111100 r! +b00001 s! +b000001 t! +0u! +b001110 v! +b0000001 w! +b10111100100011110011110000000000000000 x! +b101111001000 z! +b1111001111000000000000000 {! +1|! +b0000010 }! +b00010 ~! +b0111100100 !" +b000100111100100 "" +b01 #" +0&" +0'" +0(" +1)" +b000100111100100 *" +b1000100111100100 +" +b1000100111100100 ," +1." +b1010011000011000 1" +b0100111000011100 :" +b00000111111011111001111110111111 M" +b0000000000000000000011000101101010010011100000111001101010110100100110001000001100001000000000010100100000110101001001000000100000100000100100001100000000100001 N" +b0011110011110001001111010 S" +b00000000000000000000000111111111111111111111110000000000000000000001011111111111111111111111000000000000000000000000000000000000000000001000000000000000000000010 U" +b0010011 [" +b00100 ]" +b010 _" +b010 a" +0b" +b00010011 g" +b000000100010001000010010 h" +b00100 i" +0j" +b011 q" +b00 s" +0t" +b0011 u" +b00110000 v" +1w" +b000000000000000010111001000001100101010001111001 y" +1,# +b00001 5# +b00001 6# +b010 8# +b000000000001010010010 9# +b10111001000001100101010001111001 :# +b00 D# +b010000 E# +b011 F# +#17 +b000000000000000010111001000001100101010001111001 H +0w" +#18 +b0000000000000000000000000000000000000000000000000000000000000000001000100111100100000010 b +b1000100111100100000010 e +b1000100111100100 f +b00001 g +b1111111111111111111111111111111111111111111111100010011110010000001101111111111111111111111111111111111111111111111 m +b10001001111001000000110 q +b1000100111100100 r +b00001 s +b00001 v +1w +b1000100111100100 y +b00001 z +b000000000000000010111001000001100101010001111001 { +b0101010001111001 (! +b1011100100000110 )! +b0010101 6! +b0001110 7! +b0010100 :! +b1101101 ;! +b0010100 ! +b10100000110 ?! +b0101100111011111010110 A! +b0000000000000010110011101111101011000 B! +b00000000000000010110011101111101011000 K! +b11111111111111101001100010000010101000 M! +b0000000000000010110011101111101011000 P! +b0001001 T! +b1111000 U! +b0001001 V! +b010110 W! +b0000000000000011000011111100111101000 Y! +b00011010001001 a! +b11101001111000 b! +b00011010001001 c! +b011010010110 d! +b00000000000001001010011101010100000000000000000000011000011111100111101000 f! +b0011000011111100111101000 r! +b00010 s! +b000010 t! +b001111 v! +b0001000 w! +b11000011111100111101000000000000000000 x! +b110000111111 z! +b0011110100000000000000000 {! +b0001001 }! +b01001 ~! +b1000011111 !" +b010011000011111 "" +b11 #" +b010011000100000 *" +b1010011000100000 +" +b1010011000100000 ," +b0101010001111001 1" +b1011100100000110 :" +1L" +b00000011101110010001111010111111 M" +b0000000000000000000011000101111010110010100000111101100010100100000111001010001000001000000000010101100000110001000001110001000000100000100000010100000001000010 N" +b0001011110011111100001100 S" +b00001 T" +b00000000000000000000000111111111111111111111111000100111100100000011011111111111111111111111000000000000000000000001000100111100100000011010001001111001000000110 U" +b010 \" +b00000 ]" +b00100 ^" +b000 _" +b010 `" +1b" +0c" +b00010011 d" +b000000100010001000010010 e" +b00100 f" +b00000000 g" +b000000100011001000011000 h" +b00000 i" +b000000000000000000000010001001111001000000101000100111100100000010 n" +1w" +b000000000000000000111000010110000111001001011111 y" +b1000100111100100 )# +b00001 *# +b00111000010110000111001001011111 :# +#19 +b000000000000000000111000010110000111001001011111 H +0w" +#20 +b0000000000000000000000000000000000000000000000000000000000000000001010011000100000000010 b +b1010011000100000000010 e +b1010011000100000 f +b1111111111111111111111111111111111111111111111101001100010000000001101111111111111111111111111111111111111111111111 m +b10100110001000000000110 q +b1010011000100000 r +b1010011000100000 y +b000000000000000000111000010110000111001001011111 { +b0111001001011111 (! +b0011100001011000 )! +11! +02! +b0011100 6! +b0011011 :! +b1100110 ;! +b0011011 ! +b10001011000 ?! +b0110111010110010101000 A! +b0000000000000011011101011001010100000 B! +b1111111111111111111111111111111111111 H! +1J! +b10000000000000011011101011001010100000 K! +b11111111111111100100010100110101100000 M! +1O! +b0000000000000011011101011001010100000 P! +0R! +b0001101 T! +b1110100 U! +b0001101 V! +b011010 W! +b0000000000000100101001110101010000000 Y! +b00101000001101 a! +b11011011110100 b! +b00101000001101 c! +b100001011010 d! +b00000000000000101100111011111010110000000000000000100101001110101010000000 f! +b0100101001110101010000000 r! +b00001 s! +b000001 t! +b001110 v! +b0001101 w! +b10010100111010101000000000000000000000 x! +b100101001110 z! +b1010100000000000000000000 {! +b0001110 }! +b01110 ~! +b0010100111 !" +b011100010100111 "" +b01 #" +b011100010100111 *" +b1011100010100111 +" +b1011100010100111 ," +b0111001001011111 1" +b0011100001011000 :" +0L" +b00000000111111101000111110111111 M" +b0000000000000000000011000101111010110011100010111101101010100100100110001000001100001000000000010111100010110101001001000000100000100010100100001100010000100001 N" +b0000000101010111001010010 S" +b00000000000000000000000111111111111111111111111010011000100000000011011111111111111111111111000000000000000000000001010011000100000000011010100110001000000000110 U" +b000000000000000000000010100110001000000000101010011000100000000010 n" +1w" +b000000000000000001101100100010001100011100110000 y" +b1010011000100000 )# +b01101100100010001100011100110000 :# +#21 +b000000000000000001101100100010001100011100110000 H +0w" +#22 +b0000000000000000000000000000000000000000000000000000000000000000001011100010100111000010 b +b1011100010100111000010 e +b1011100010100111 f +b1111111111111111111111111111111111111111111111101110001010011100001101111111111111111111111111111111111111111111111 m +b10111000101001110000110 q +b1011100010100111 r +b1011100010100111 y +b000000000000000001101100100010001100011100110000 { +b1100011100110000 (! +b0110110010001000 )! +01! +12! +b0010001 6! +b0011011 7! +b0011101 :! +b1100100 ;! +b0011101 ! +b10010001000 ?! +b1000001001000110000000 A! +b0000000000000100000100100011000000000 B! +b0000000000000000000000000000000000000 H! +0J! +b00000000000000100000100100011000000000 K! +b11111111111111011111011011101000000000 M! +0O! +b0000000000000100000100100011000000000 P! +1R! +b0010100 T! +b1101101 U! +b0010100 V! +b100001 W! +b0000000000000010110011101111101011000 Y! +b10 `! +b00110110010100 a! +b11001101101101 b! +b00110110010100 c! +b100101100001 d! +b00000000000000110111010110010101000000000000000000010110011101111101011000 f! +b01 i! +b0010110011101111101011000 r! +b00010 s! +b000010 t! +b001111 v! +b0010011 w! +b10110011101111101011000000000000000000 x! +b101100111011 z! +b1110101100000000000000000 {! +b0010100 }! +b10100 ~! +b0110011101 !" +b101000110011101 "" +b11 #" +b101000110011110 *" +b1101000110011110 +" +b1101000110011110 ," +b1100011100110000 1" +b0110110010001000 :" +1L" +b00000011111110110001111110111111 M" +b0000000000000000000011000101111010010010100000111001100010100100000111001000001000001000000000010100100000110001000001000001000000100000100000010100000001000010 N" +b0001101011111011100110100 S" +b00000000000000000000000111111111111111111111111011100010100111000011011111111111111111111111000000000000000000000001011100010100111000011010111000101001110000110 U" +b000000000000000000000010111000101001110000101011100010100111000010 n" +1w" +b000000000000000000001111111001001001001110101001 y" +b1011100010100111 )# +b00001111111001001001001110101001 :# +#23 +b000000000000000000001111111001001001001110101001 H +0w" +#24 +b0000000000000000000000000000000000000000000000000000000000000000001101000110011110000010 b +b1101000110011110000010 e +b1101000110011110 f +b1111111111111111111111111111111111111111111111110100011001111000001101111111111111111111111111111111111111111111111 m +b11010001100111100000110 q +b1101000110011110 r +b1101000110011110 y +b000000000000000000001111111001001001001110101001 { +b1001001110101001 (! +b0000111111100100 )! +b0000100 6! +b0000011 7! +b1111000 :! +b0001001 ;! +b0000001 ! +b11111100100 ?! +b1111000111000110000100 A! +b0000000000000111100011100011000010000 B! +b00000000000000111100011100011000010000 K! +b11111111111111000011100011100111110000 M! +b0000000000000111100011100011000010000 P! +1S! +b0011011 T! +b1100110 U! +b0011011 V! +b100101 W! +b0000000000000011011101011001010100000 Y! +0[! +b01 `! +b00111010011011 a! +b11001001100110 b! +b00111010011011 c! +b100101100101 d! +b00000000000001000001001000110000000000000000000000011011101011001010100000 f! +b10 i! +b0011011101011001010100000 r! +b0011010 w! +b11011101011001010100000000000000000000 x! +b110111010110 z! +b0101010000000000000000000 {! +b0011011 }! +b11011 ~! +b1011101011 !" +b110111011101011 "" +b01 #" +0)" +b110111011101011 *" +b0110111011101011 +" +b0110111011101011 ," +b1001001110101001 1" +b0000111111100100 :" +0L" +b00000001111111110000111110111111 M" +b0000000000000000000011000101111010110011100010111101100010110100100110001010001000001000000000010111100010110001001001010001000000100010100100010100010001000010 N" +b0000010101001101011101100 S" +b00000000000000000000000111111111111111111111111101000110011110000011011111111111111111111111000000000000000000000001101000110011110000011011010001100111100000110 U" +b000000000000000000000011010001100111100000101101000110011110000010 n" +1w" +b000000000000000011000000110111000010110110100101 y" +b1101000110011110 )# +b11000000110111000010110110100101 :# +#25 +b000000000000000011000000110111000010110110100101 H +0w" +#26 +b0000000000000000000000000000000000000000000000000000000000000000000110111011101011000010 b +b0110111011101011000010 e +b0110111011101011 f +b1111111111111111111111111111111111111111111111011011101110101100001101111111111111111111111111111111111111111111111 m +b01101110111010110000110 q +b0110111011101011 r +b0110111011101011 y +b000000000000000011000000110111000010110110100101 { +b0010110110100101 (! +b1100000011011100 )! +b0001011 6! +b0010000 7! +b0001100 :! +b1110101 ;! +b0001100 ! +b10011011100 ?! +b0110110110110111001100 A! +b0000000000000011011011011011100110000 B! +b00000000000000011011011011011100110000 K! +b11111111111111100100100100100011010000 M! +b0000000000000011011011011011100110000 P! +0S! +b0011101 T! +b1100100 U! +b0011101 V! +b0000000000000100000100100011000000000 Y! +1[! +b00 `! +b11110000011101 a! +b00010011100100 b! +b00000010011101 c! +b000101100101 d! +b00000000000001111000111000110000100000000000000000100000100100011000000000 f! +b11 i! +b0100000100100011000000000 r! +b00001 s! +b000001 t! +b001110 v! +b0011101 w! +b10000010010001100000000000000000000000 x! +b100000100100 z! +b0110000000000000000000000 {! +b0011110 }! +b11110 ~! +b0000010010 !" +b111100000010010 "" +1)" +b111100000010010 *" +b1111100000010010 +" +b1111100000010010 ," +b0010110110100101 1" +b1100000011011100 :" +b00000000010101001000011110011011 M" +b0000000000000000000011000101111010110011100010111001101010100100100111001010001100001000000000010111100110111001010001110000100000101110101000001000000000100001 N" +b0000000001100010010000010 S" +b00000000000000000000000111111111111111111111110110111011101011000011011111111111111111111111000000000000000000000000110111011101011000011001101110111010110000110 U" +b000000000000000000000001101110111010110000100110111011101011000010 n" +1w" +b000000000000000000101000011100110110011100001001 y" +b0110111011101011 )# +b00101000011100110110011100001001 :# +#27 +b000000000000000000101000011100110110011100001001 H +0w" +#28 +b0000000000000000000000000000000000000000000000000000000000000000001111100000010010000010 b +b1111100000010010000010 e +b1111100000010010 f +b1111111111111111111111111111111111111111111111111110000001001000001101111111111111111111111111111111111111111111111 m +b11111000000100100000110 q +b1111100000010010 r +b1111100000010010 y +b000000000000000000101000011100110110011100001001 { +b0110011100001001 (! +b0010100001110011 )! +11! +02! +b0011001 6! +b0001010 7! +b0010100 :! +b1101101 ;! +b0010100 ! +b10001110011 ?! +b0111110100110100001011 A! +b0000000000000011111010011010000101100 B! +b1111111111111111111111111111111111111 H! +1J! +b10000000000000011111010011010000101100 K! +b11111111111111100000101100101111010100 M! +1O! +b0000000000000011111010011010000101100 P! +0R! +b1111000 T! +b0001001 U! +b0000001 V! +b000101 W! +b0000000000000111100011100011000010000 Y! +b00011001111000 a! +b11101010001001 b! +b00011000000001 c! +b011001000101 d! +b00000000000000110110110110111001100000000000000000111100011100011000010000 f! +b0111100011100011000010000 r! +b000101 v! +b0000001 w! +b00000000011110001110001100001000000000 x! +b000000001111 z! +b0001110001100001000000000 {! +b0000000 }! +b00000 ~! +b0000000111 !" +b000000000000111 "" +b11 #" +1&" +1'" +b000000000001000 *" +b1000000000001000 +" +b1000000000001000 ," +b0110011100001001 1" +b0010100001110011 :" +1L" +b00000010010110111001011110111111 M" +b0000000000000000000011000101111010010011100010111001101010100100000111001000001000001000000000010100100110111001000001000000100000101000100000001101000000100001 N" +b0000100001100011100011110 S" +b00000000000000000000000111111111111111111111111111100000010010000011011111111111111111111111000000000000000000000001111100000010010000011011111000000100100000110 U" +b000000000000000000000011111000000100100000101111100000010010000010 n" +1w" +b000000000000000011000100011101110001111111010011 y" +b1111100000010010 )# +b00011 5# +b00011 6# +b11000100011101110001111111010011 :# +#29 +b000000000000000011000100011101110001111111010011 H +0w" +#30 +b0000000000000000000000000000000000000000000000000000000000000000001000000000001000000110 b +b1000000000001000000110 e +b1000000000001000 f +b00011 g +b1111111111111111111111111111111111111111111111100000000000100000011101111111111111111111111111111111111111111111111 m +b10000000000010000001110 q +b1000000000001000 r +b00011 s +b00011 v +b1000000000001000 y +b00011 z +b000000000000000011000100011101110001111111010011 { +b0001111111010011 (! +b1100010001110111 )! +01! +12! +b0000111 6! +b0010001 7! +b0001001 :! +b1111000 ;! +b0001001 ! +b10001110111 ?! +b1000101110111100010101 A! +b0000000000000100010111011110001010100 B! +b0000000000000000000000000000000000000 H! +0J! +b00000000000000100010111011110001010100 K! +b11111111111111011101000100001110101100 M! +0O! +b0000000000000100010111011110001010100 P! +1R! +b0001100 T! +b1110101 U! +b0001100 V! +b011001 W! +b0000000000000011011011011011100110000 Y! +b10 `! +b00101000001100 a! +b11011011110101 b! +b00101000001100 c! +b100001011001 d! +b00000000000000111110100110100001011000000000000000011011011011011100110000 f! +b01 i! +b0011011011011011100110000 r! +b00010 s! +b000010 t! +b001111 v! +b0001011 w! +b11011011011011100110000000000000000000 x! +b110110110110 z! +b1110011000000000000000000 {! +b0001100 }! +b01100 ~! +b1011011011 !" +b011001011011011 "" +b01 #" +0&" +0'" +b011001011011011 *" +b1011001011011011 +" +b1011001011011011 ," +b0001111111010011 1" +b1100010001110111 :" +0L" +b00000011111111110001111110111111 M" +b0000000000000000000011000101111010010011100000111001100010110100000110001010001000001000000000010100100000110001000001010001000000100000100000010100000001000010 N" +b0000110011101101101101100 S" +b00011 T" +b00000000000000000000000111111111111111111111111000000000001000000111011111111111111111111111000000000000000000000001000000000001000000111010000000000010000001110 U" +b000000000000000000000010000000000010000001101000000000001000000110 n" +1w" +b000000000000000011101101011011100110101001011111 y" +b1000000000001000 )# +b00011 *# +b00001 5# +b00001 6# +b11101101011011100110101001011111 :# +#31 +b000000000000000011101101011011100110101001011111 H +0w" +#32 +b0000000000000000000000000000000000000000000000000000000000000000001011001011011011000010 b +b1011001011011011000010 e +b1011001011011011 f +b00001 g +b1111111111111111111111111111111111111111111111101100101101101100001101111111111111111111111111111111111111111111111 m +b10110010110110110000110 q +b1011001011011011 r +b00001 s +b00001 v +b1011001011011011 y +b00001 z +b000000000000000011101101011011100110101001011111 { +b0110101001011111 (! +b1110110101101110 )! +b0011010 6! +b0011011 7! +b0100110 :! +b1011011 ;! +b0100110 ! +b10101101110 ?! +b1000101001011111010010 A! +b0000000000000100010100101111101001000 B! +b00000000000000100010100101111101001000 K! +b11111111111111011101011010000010111000 M! +b0000000000000100010100101111101001000 P! +1S! +b0010100 T! +b1101101 U! +b0010100 V! +b100001 W! +b0000000000000011111010011010000101100 Y! +0[! +b01 `! +b00010010010100 a! +b11110001101101 b! +b00010010010100 c! +b010110100001 d! +b00000000000001000101110111100010101000000000000000011111010011010000101100 f! +b10 i! +b0011111010011010000101100 r! +b0010011 w! +b11111010011010000101100000000000000000 x! +b111110100110 z! +b1000010110000000000000000 {! +b0010100 }! +b10100 ~! +b1111010011 !" +b101001111010011 "" +0)" +b101001111010011 *" +b0101001111010011 +" +b0101001111010011 ," +b0110101001011111 1" +b1110110101101110 :" +b00000111011111110001111110111111 M" +b0000000000000000000011000101101010110011100010111001100010110100000110001000001000001000000000010101100110110001000001000001000000100110100000010100110001000010 N" +b0011010000101100101111100 S" +b00001 T" +b00000000000000000000000111111111111111111111111011001011011011000011011111111111111111111111000000000000000000000001011001011011011000011010110010110110110000110 U" +b000000000000000000000010110010110110110000101011001011011011000010 n" +1w" +b000000000000000000011001100000100001101100000110 y" +b1011001011011011 )# +b00001 *# +b00011001100000100001101100000110 :# +#33 +b000000000000000000011001100000100001101100000110 H +0w" +#34 +b0000000000000000000000000000000000000000000000000000000000000000000101001111010011000010 b +b0101001111010011000010 e +b0101001111010011 f +b1111111111111111111111111111111111111111111111010100111101001100001101111111111111111111111111111111111111111111111 m +b01010011110100110000110 q +b0101001111010011 r +b0101001111010011 y +b000000000000000000011001100000100001101100000110 { +b0001101100000110 (! +b0001100110000010 )! +11! +02! +b0000110 6! +b0000110 7! +b1111101 :! +b0000100 ;! +b0000001 ! +b10110000010 ?! +b1001101010111100001100 A! +b0000000000000100110101011110000110000 B! +b1111111111111111111111111111111111111 H! +1J! +b10000000000000100110101011110000110000 K! +b11111111111111011001010100001111010000 M! +1O! +b0000000000000100110101011110000110000 P! +0R! +0S! +b0001001 T! +b1111000 U! +b0001001 V! +b010110 W! +b0000000000000100010111011110001010100 Y! +1[! +b00 `! +b01001100001001 a! +b10110111111000 b! +b01001100001001 c! +b100101010110 d! +b00000000000001000101001011111010010000000000000000100010111011110001010100 f! +b11 i! +b0100010111011110001010100 r! +b00001 s! +b000001 t! +b001110 v! +b0001001 w! +b10001011101111000101010000000000000000 x! +b100010111011 z! +b1100010101000000000000000 {! +b0001010 }! +b01010 ~! +b0001011101 !" +b010100001011101 "" +b11 #" +1)" +b010100001011110 *" +b1010100001011110 +" +b1010100001011110 ," +b0001101100000110 1" +b0001100110000010 :" +1L" +b00000111011111101001111110111111 M" +b0000000000000000000011000101101010010010100010111001100010110100000111001010001100001000000000010100100100110001000001010000100000100100100000001100100000100001 N" +b0010101000111101110100010 S" +b00000000000000000000000111111111111111111111110101001111010011000011011111111111111111111111000000000000000000000000101001111010011000011001010011110100110000110 U" +b000000000000000000000001010011110100110000100101001111010011000010 n" +1w" +b000000000000000010001101101101100111011001100010 y" +b0101001111010011 )# +b10001101101101100111011001100010 :# +#35 +b000000000000000010001101101101100111011001100010 H +0w" +#36 +b0000000000000000000000000000000000000000000000000000000000000000001010100001011110000010 b +b1010100001011110000010 e +b1010100001011110 f +b1111111111111111111111111111111111111111111111101010000101111000001101111111111111111111111111111111111111111111111 m +b10101000010111100000110 q +b1010100001011110 r +b1010100001011110 y +b000000000000000010001101101101100111011001100010 { +b0111011001100010 (! +b1000110110110110 )! +01! +12! +b0011101 6! +b0000011 7! +b0010001 :! +b1110000 ;! +b0010001 ! +b10110110110 ?! +b1001000111001110101100 A! +b0000000000000100100011100111010110000 B! +b0000000000000000000000000000000000000 H! +0J! +b00000000000000100100011100111010110000 K! +b11111111111111011011100011000101010000 M! +0O! +b0000000000000100100011100111010110000 P! +1R! +b0100110 T! +b1011011 U! +b0100110 V! +b100101 W! +b0000000000000100010100101111101001000 Y! +b10 `! +b11111010100110 a! +b00001001011011 b! +b00000010100110 c! +b001010100101 d! +b00000000000001001101010111100001100000000000000000100010100101111101001000 f! +b01 i! +b0100010100101111101001000 r! +b0100110 w! +b10001010010111110100100000000000000000 x! +b100010100101 z! +b1111010010000000000000000 {! +b0100111 }! +b11110 ~! +b1111111111 !" +b111101111111111 "" +1$" +1%" +b111110000000000 *" +b1111110000000000 +" +b1111110000000000 ," +b0111011001100010 1" +b1000110110110110 :" +b00000011111101101001111110111111 M" +b0000000000000000000011000101111010110010100000111001100010100100100111001010001100001000000000010101100000110001010001010000100000100000101000001100000000100001 N" +b0001001011111010010100010 S" +b00000000000000000000000111111111111111111111111010100001011110000011011111111111111111111111000000000000000000000001010100001011110000011010101000010111100000110 U" +b000000000000000000000010101000010111100000101010100001011110000010 n" +1w" +b000000000000000010111100110011001001110000000101 y" +b1010100001011110 )# +b00101 5# +b00101 6# +b10111100110011001001110000000101 :# +#37 +b000000000000000010111100110011001001110000000101 H +0w" +#38 +b0000000000000000000000000000000000000000000000000000000000000000001111110000000000001010 b +b1111110000000000001010 e +b1111110000000000 f +b00101 g +b1111111111111111111111111111111111111111111111111111000000000000101101111111111111111111111111111111111111111111111 m +b11111100000000000010110 q +b1111110000000000 r +b00101 s +b00101 v +b1111110000000000 y +b00101 z +b000000000000000010111100110011001001110000000101 { +b1001110000000101 (! +b1011110011001100 )! +11! +02! +b0000111 6! +b0001111 7! +b0000111 :! +b1111010 ;! +b0000111 ! +b10011001100 ?! +b0100110100011111111100 A! +b0000000000000010011010001111111110000 B! +b1111111111111111111111111111111111111 H! +1J! +b10000000000000010011010001111111110000 K! +b11111111111111101100101110000000010000 M! +1O! +b0000000000000010011010001111111110000 P! +0R! +1S! +b1111101 T! +b0000100 U! +b0000001 V! +b001010 W! +b0000000000000100110101011110000110000 Y! +0[! +b01 `! +b00100011111101 a! +b11100000000100 b! +b00100010000001 c! +b011110001010 d! +b00000000000001001000111001110101100000000000000000100110101011110000110000 f! +b10 i! +b0100110101011110000110000 r! +b001010 v! +b0000001 w! +b00001001101010111100001100000000000000 x! +b000100110101 z! +b0111100001100000000000000 {! +b0000000 }! +b00000 ~! +b0010011010 !" +b000000010011010 "" +0$" +0%" +1&" +1'" +0)" +b000000010011011 *" +b0000000010011011 +" +b0000000010011011 ," +b1001110000000101 1" +b1011110011001100 :" +b00000011011111101001111110111111 M" +b0000000000000000000011000101111010010011100010111001100010110100100111001000001100001000000000010100100110110001001001000000100000100110100100001100110000100001 N" +b0000110000111101010110010 S" +b00101 T" +b00000000000000000000000111111111111111111111111111110000000000001011011111111111111111111111000000000000000000000001111110000000000001011011111100000000000010110 U" +b000000000000000000000011111100000000000010101111110000000000001010 n" +1w" +b000000000000000001100101100101111000110001111110 y" +b1111110000000000 )# +b00101 *# +b00011 5# +b00011 6# +b01100101100101111000110001111110 :# +#39 +b000000000000000001100101100101111000110001111110 H +0w" +#40 +b0000000000000000000000000000000000000000000000000000000000000000000000000010011011000110 b +b0000000010011011000110 e +b0000000010011011 f +b00011 g +b1111111111111111111111111111111111111111111111000000001001101100011101111111111111111111111111111111111111111111111 m +b00000000100110110001110 q +b0000000010011011 r +b00011 s +b00011 v +b0000000010011011 y +b00011 z +b000000000000000001100101100101111000110001111110 { +b1000110001111110 (! +b0110010110010111 )! +01! +12! +b0000011 6! +b0011001 7! +b0001101 :! +b1110100 ;! +b0001101 ! +b10110010111 ?! +b0110010001110001010010 A! +b0000000000000011001000111000101001000 B! +b0000000000000000000000000000000000000 H! +0J! +b00000000000000011001000111000101001000 K! +b11111111111111100110111000111010111000 M! +0O! +b0000000000000011001000111000101001000 P! +1R! +0S! +b0010001 T! +b1110000 U! +b0010001 V! +b011110 W! +b0000000000000100100011100111010110000 Y! +1[! +b10 `! +b00001110010001 a! +b11110101110000 b! +b00001110010001 c! +b010100011110 d! +b00000000000000100110100011111111100000000000000000100100011100111010110000 f! +b01 i! +b0100100011100111010110000 r! +b001110 v! +b0010001 w! +b10010001110011101011000000000000000000 x! +b100100011100 z! +b1110101100000000000000000 {! +b0010010 }! +b10010 ~! +b0010001110 !" +b100100010001110 "" +b01 #" +0&" +0'" +1)" +b100100010001110 *" +b1100100010001110 +" +b1100100010001110 ," +b1000110001111110 1" +b0110010110010111 :" +0L" +b00000011111110101001111110111111 M" +b0000000000000000000011000101111010010011100010111001101010100100000111001000001100001000000000010100100010110101000001000000100000100010100000001100010000100001 N" +b0000110101110011100010010 S" +b00011 T" +b00000000000000000000000111111111111111111111110000000010011011000111011111111111111111111111000000000000000000000000000000010011011000111000000000100110110001110 U" +b000000000000000000000000000000100110110001100000000010011011000110 n" +1w" +b000000000000000000110011011110100111100010010100 y" +b0000000010011011 )# +b00011 *# +b00001 5# +b00001 6# +b00110011011110100111100010010100 :# +#41 +b000000000000000000110011011110100111100010010100 H +0w" +#42 +b0000000000000000000000000000000000000000000000000000000000000000001100100010001110000010 b +b1100100010001110000010 e +b1100100010001110 f +b00001 g +b1111111111111111111111111111111111111111111111110010001000111000001101111111111111111111111111111111111111111111111 m +b11001000100011100000110 q +b1100100010001110 r +b00001 s +b00001 v +b1100100010001110 y +b00001 z +b000000000000000000110011011110100111100010010100 { +b0111100010010100 (! +b0011001101111010 )! +11! +02! +b0011110 6! +b0001100 7! +b0011011 :! +b1100110 ;! +b0011011 ! +b11101111010 ?! +b1000100011101010001000 A! +b0000000000000100010001110101000100000 B! +b1111111111111111111111111111111111111 H! +1J! +b10000000000000100010001110101000100000 K! +b11111111111111011101110001010111100000 M! +1O! +b0000000000000100010001110101000100000 P! +0R! +1S! +b0000111 T! +b1111010 U! +b0000111 V! +b010100 W! +b0000000000000010011010001111111110000 Y! +0[! +b01 `! +b00011010000111 a! +b11101001111010 b! +b00011010000111 c! +b011010010100 d! +b00000000000000110010001110001010010000000000000000010011010001111111110000 f! +b10 i! +b0010011010001111111110000 r! +b00010 s! +b000010 t! +b001111 v! +b0000110 w! +b10011010001111111110000000000000000000 x! +b100110100011 z! +b1111111000000000000000000 {! +b0000111 }! +b00111 ~! +b0011010001 !" +b001110011010001 "" +b11 #" +0)" +b001110011010010 *" +b0001110011010010 +" +b0001110011010010 ," +b0111100010010100 1" +b0011001101111010 :" +1L" +b00000011111011110001111110111111 M" +b0000000000000000000011000101111010010010100000111001100010110100000110001010001000001000000000010100100000110001000001010001000000100000100000010100000001000010 N" +b0000111111111000101100100 S" +b00001 T" +b00000000000000000000000111111111111111111111111100100010001110000011011111111111111111111111000000000000000000000001100100010001110000011011001000100011100000110 U" +b000000000000000000000011001000100011100000101100100010001110000010 n" +1w" +b000000000000000010000011100110111001111010000001 y" +b1100100010001110 )# +b00001 *# +b10000011100110111001111010000001 :# +#43 +b000000000000000010000011100110111001111010000001 H +0w" +#44 +b0000000000000000000000000000000000000000000000000000000000000000000001110011010010000010 b +b0001110011010010000010 e +b0001110011010010 f +b1111111111111111111111111111111111111111111111000111001101001000001101111111111111111111111111111111111111111111111 m +b00011100110100100000110 q +b0001110011010010 r +b0001110011010010 y +b000000000000000010000011100110111001111010000001 { +b001000010100000110000001 '! +b1001111010000001 (! +b1000001110011011 )! +b01000001 ,! +b0000111 6! +b0000000 7! +b1111001 :! +b0001000 ;! +b0000001 ! +b01110011011 ?! +b0101110111001100011011 A! +b0000000000000010111011100110001101100 B! +b10000000000000010111011100110001101100 K! +b11111111111111101000100011001110010100 M! +b0000000000000010111011100110001101100 P! +0S! +b0001101 T! +b1110100 U! +b0001101 V! +b011010 W! +b0000000000000011001000111000101001000 Y! +1[! +b10 `! +b00110110001101 a! +b11001101110100 b! +b00110110001101 c! +b100101011010 d! +b00000000000001000100011101010001000000000000000000011001000111000101001000 f! +b01 i! +b0011001000111000101001000 r! +b0001100 w! +b11001000111000101001000000000000000000 x! +b110010001110 z! +b0010100100000000000000000 {! +b0001101 }! +b01101 ~! +b1001000111 !" +b011011001000111 "" +b01 #" +1)" +b011011001000111 *" +b1011011001000111 +" +b1011011001000111 ," +b1001111010000001 1" +b1000001110011011 :" +0<" +1B" +0L" +b00000011101101010001111110111111 M" +b0000000000000000000011000101111010110010100000111101100010100100100110001010001000001000000000010101100000110001010001100001000000100000101000010100000001000010 N" +b0001001010001110001001100 S" +b00000000000000000000000111111111111111111111110001110011010010000011011111111111111111111111000000000000000000000000001110011010010000011000011100110100100000110 U" +b000000000000000000000000011100110100100000100001110011010010000010 n" +1w" +b000000000000000011011001011001001000101001100010 y" +b0001110011010010 )# +b11011001011001001000101001100010 :# +#45 +b000000000000000011011001011001001000101001100010 H +0w" +#46 +b0000000000000000000000000000000000000000000000000000000000000000001011011001000111000010 b +b1011011001000111000010 e +b1011011001000111 f +b1111111111111111111111111111111111111111111111101101100100011100001101111111111111111111111111111111111111111111111 m +b10110110010001110000110 q +b1011011001000111 r +b1011011001000111 y +b000000000000000011011001011001001000101001100010 { +b001000011000000110000001 '! +b1000101001100010 (! +b1101100101100100 )! +b10000001 ,! +b0000010 6! +b0010110 7! +b0001001 :! +b1111000 ;! +b0001001 ! +b10101100100 ?! +b1000100110100001001000 A! +b0000000000000100010011010000100100000 B! +b10000000000000100010011010000100100000 K! +b11111111111111011101100101111011100000 M! +b0000000000000100010011010000100100000 P! +1S! +b0011011 T! +b1100110 U! +b0011011 V! +b100101 W! +b0000000000000100010001110101000100000 Y! +0[! +b11 `! +b11110010011011 a! +b00010001100110 b! +b00000010011011 c! +b000110100101 d! +b00000000000000101110111001100011011000000000000000100010001110101000100000 f! +b00 i! +b0100010001110101000100000 r! +b00001 s! +b000001 t! +b001110 v! +b0011011 w! +b10001000111010100010000000000000000000 x! +b100010001110 z! +b1010001000000000000000000 {! +b0011100 }! +b11100 ~! +b0001000111 !" +b111000001000111 "" +0)" +b111000001000111 *" +b0111000001000111 +" +b0111000001000111 ," +b1000101001100010 1" +b1101100101100100 :" +1<" +0B" +b00000001011110101000111110111111 M" +b0000000000000000000011000101111010110011100010111101101010100100100111001010001100001000000000010111100110110101001001010000100000100110100100001100110000100001 N" +b0000010001010111000100010 S" +b00000000000000000000000111111111111111111111111011011001000111000011011111111111111111111111000000000000000000000001011011001000111000011010110110010001110000110 U" +b000000000000000000000010110110010001110000101011011001000111000010 n" +1w" +b000000000000000011010011100100010110010111111001 y" +b1011011001000111 )# +b11010011100100010110010111111001 :# +#47 +b000000000000000011010011100100010110010111111001 H +0w" +#48 +b0000000000000000000000000000000000000000000000000000000000000000000111000001000111000010 b +b0111000001000111000010 e +b0111000001000111 f +b1111111111111111111111111111111111111111111111011100000100011100001101111111111111111111111111111111111111111111111 m +b01110000010001110000110 q +b0111000001000111 r +b0111000001000111 y +b000000000000000011010011100100010110010111111001 { +b0110010111111001 (! +b1101001110010001 )! +01! +12! +b0011001 6! +b0010100 7! +b0011110 :! +b1100011 ;! +b0011110 ! +b11110010001 ?! +b1011010011000100001001 A! +b0000000000000101101001100010000100100 B! +b0000000000000000000000000000000000000 H! +0J! +b00000000000000101101001100010000100100 K! +b11111111111111010010110011101111011100 M! +0O! +b0000000000000101101001100010000100100 P! +1R! +b1111001 T! +b0001000 U! +b0000001 V! +b000110 W! +b0000000000000010111011100110001101100 Y! +b00010011111001 a! +b11110000001000 b! +b00010010000001 c! +b010110000110 d! +b00000000000001000100110100001001000000000000000000010111011100110001101100 f! +b0010111011100110001101100 r! +b00010 s! +b000010 t! +b000110 v! +b0000001 w! +b00000000010111011100110001101100000000 x! +b000000001011 z! +b1011100110001101100000000 {! +b0000000 }! +b00000 ~! +b0000000101 !" +b000000000000101 "" +b11 #" +1&" +1'" +b000000000000110 *" +b0000000000000110 +" +b0000000000000110 ," +b0110010111111001 1" +b1101001110010001 :" +1L" +b00000111011111110001111110111111 M" +b0000000000000000000011000101101010110010100010111001101010100100000110001000001000001000000000010101100100110101000001000001000000100100100000010100100001000010 N" +b0011011000110011101110100 S" +b00000000000000000000000111111111111111111111110111000001000111000011011111111111111111111111000000000000000000000000111000001000111000011001110000010001110000110 U" +b000000000000000000000001110000010001110000100111000001000111000010 n" +1w" +b000000000000000010011110000100100011000000110101 y" +b0111000001000111 )# +b00011 5# +b00011 6# +b10011110000100100011000000110101 :# +#49 +b000000000000000010011110000100100011000000110101 H +0w" +#50 +b0000000000000000000000000000000000000000000000000000000000000000000000000000000110000110 b +b0000000000000110000110 e +b0000000000000110 f +b00011 g +b1111111111111111111111111111111111111111111111000000000000011000011101111111111111111111111111111111111111111111111 m +b00000000000001100001110 q +b0000000000000110 r +b00011 s +b00011 v +b0000000000000110 y +b00011 z +b000000000000000010011110000100100011000000110101 { +b0011000000110101 (! +b1001111000010010 )! +b0001100 6! +b0000111 7! +b0000100 :! +b1111101 ;! +b0000100 ! +b11000010010 ?! +b0110011000100110111010 A! +b0000000000000011001100010011011101000 B! +b00000000000000011001100010011011101000 K! +b11111111111111100110011101100100011000 M! +b0000000000000011001100010011011101000 P! +b0001001 T! +b1111000 U! +b0001001 V! +b010110 W! +b0000000000000100010011010000100100000 Y! +b01 `! +b00111100001001 a! +b11000111111000 b! +b00111100001001 c! +b100101010110 d! +b00000000000001011010011000100001001000000000000000100010011010000100100000 f! +b10 i! +b0100010011010000100100000 r! +b00001 s! +b000001 t! +b001110 v! +b0001001 w! +b10001001101000010010000000000000000000 x! +b100010011010 z! +b0001001000000000000000000 {! +b0001010 }! +b01010 ~! +b0001001101 !" +b010100001001101 "" +b01 #" +0&" +0'" +b010100001001101 *" +b0010100001001101 +" +b0010100001001101 ," +b0011000000110101 1" +b1001111000010010 :" +0L" +b00000001100110101000101110111111 M" +b0000000000000000000011000101111010110011100000111101101010110100000111001010001100001000000000010111100000111101000001010000100000100000100000001100000000100001 N" +b0000010010000101100100010 S" +b00011 T" +b00000000000000000000000111111111111111111111110000000000000110000111011111111111111111111111000000000000000000000000000000000000110000111000000000000001100001110 U" +b000000000000000000000000000000000001100001100000000000000110000110 n" +1w" +b000000000000000010010010110000011010101001000000 y" +b0000000000000110 )# +b00011 *# +b00001 5# +b00001 6# +b10010010110000011010101001000000 :# +#51 +b000000000000000010010010110000011010101001000000 H +0w" +#52 +b0000000000000000000000000000000000000000000000000000000000000000000010100001001101000010 b +b0010100001001101000010 e +b0010100001001101 f +b00001 g +b1111111111111111111111111111111111111111111111001010000100110100001101111111111111111111111111111111111111111111111 m +b00101000010011010000110 q +b0010100001001101 r +b00001 s +b00001 v +b0010100001001101 y +b00001 z +b000000000000000010010010110000011010101001000000 { +b1010101001000000 (! +b1001001011000001 )! +11! +02! +b0001010 6! +b0000100 7! +b1111111 :! +b0000010 ;! +b0000001 ! +b11011000001 ?! +b1010100011011001000000 A! +b0000000000000101010001101100100000000 B! +b1111111111111111111111111111111111111 H! +1J! +b10000000000000101010001101100100000000 K! +b11111111111111010101110010011100000000 M! +1O! +b0000000000000101010001101100100000000 P! +0R! +0S! +b0011110 T! +b1100011 U! +b0011110 V! +b100101 W! +b0000000000000101101001100010000100100 Y! +1[! +b00 `! +b00001000011110 a! +b11111011100011 b! +b00001000011110 c! +b010001100101 d! +b00000000000000110011000100110111010000000000000000101101001100010000100100 f! +b11 i! +b0101101001100010000100100 r! +b0011110 w! +b10110100110001000010010000000000000000 x! +b101101001100 z! +b0100001001000000000000000 {! +b0011111 }! +b11110 ~! +b1111111111 !" +b111101111111111 "" +b11 #" +1$" +1%" +1)" +b111110000000000 *" +b1111110000000000 +" +b1111110000000000 ," +b1010101001000000 1" +b1001001011000001 :" +1L" +b00000101010111111001111110111111 M" +b0000000000000000000011000101101010110011100010111001101010100100100110001000001100001000000000010110100110111001001001000000100000100110100100001100110000100001 N" +b0010010000100011001011010 S" +b00001 T" +b00000000000000000000000111111111111111111111110010100001001101000011011111111111111111111111000000000000000000000000010100001001101000011000101000010011010000110 U" +b000000000000000000000000101000010011010000100010100001001101000010 n" +1w" +b000000000000000010111101111000000101100110000111 y" +b0010100001001101 )# +b00001 *# +b00101 5# +b00101 6# +b10111101111000000101100110000111 :# +#53 +b000000000000000010111101111000000101100110000111 H +0w" +#54 +b0000000000000000000000000000000000000000000000000000000000000000001111110000000000001010 b +b1111110000000000001010 e +b1111110000000000 f +b00101 g +b1111111111111111111111111111111111111111111111111111000000000000101101111111111111111111111111111111111111111111111 m +b11111100000000000010110 q +b1111110000000000 r +b00101 s +b00101 v +b1111110000000000 y +b00101 z +b000000000000000010111101111000000101100110000111 { +b0101100110000111 (! +b1011110111100000 )! +01! +12! +b0010110 6! +b0001111 7! +b0010110 :! +b1101011 ;! +b0010110 ! +b10111100000 ?! +b1000000111100100100000 A! +b0000000000000100000011110010010000000 B! +b0000000000000000000000000000000000000 H! +0J! +b00000000000000100000011110010010000000 K! +b11111111111111011111100001101110000000 M! +0O! +b0000000000000100000011110010010000000 P! +1R! +b0000100 T! +b1111101 U! +b0000100 V! +b010001 W! +b0000000000000011001100010011011101000 Y! +b10 `! +b11111110000100 a! +b00000101111101 b! +b00000010000100 c! +b001100010001 d! +b00000000000001010100011011001000000000000000000000011001100010011011101000 f! +b01 i! +b0011001100010011011101000 r! +b00010 s! +b000010 t! +b001111 v! +b0000011 w! +b11001100010011011101000000000000000000 x! +b110011000100 z! +b1101110100000000000000000 {! +b0000100 }! +b00100 ~! +b1001100010 !" +b001001001100010 "" +b01 #" +0$" +0%" +b001001001100010 *" +b1001001001100010 +" +b1001001001100010 ," +b0101100110000111 1" +b1011110111100000 :" +0L" +b00000011110101010001111110111111 M" +b0000000000000000000011000101111010110010100010111001101010110100100110001010001000001000000000010101100010111001011001100001000000100010101100010100010001000010 N" +b0001011101100100011001100 S" +b00101 T" +b00000000000000000000000111111111111111111111111111110000000000001011011111111111111111111111000000000000000000000001111110000000000001011011111100000000000010110 U" +b000000000000000000000011111100000000000010101111110000000000001010 n" +1w" +b000000000000000010001100110111100001100011000000 y" +b1111110000000000 )# +b00101 *# +b00001 5# +b00001 6# +b10001100110111100001100011000000 :# +#55 +b000000000000000010001100110111100001100011000000 H +0w" +#56 +b0000000000000000000000000000000000000000000000000000000000000000001001001001100010000010 b +b1001001001100010000010 e +b1001001001100010 f +b00001 g +b1111111111111111111111111111111111111111111111100100100110001000001101111111111111111111111111111111111111111111111 m +b10010010011000100000110 q +b1001001001100010 r +b00001 s +b00001 v +b1001001001100010 y +b00001 z +b000000000000000010001100110111100001100011000000 { +b0001100011000000 (! +b1000110011011110 )! +b0000110 6! +b0000011 7! +b1111010 :! +b0000111 ;! +b0000001 ! +b10011011110 ?! +b0101110001111010000000 A! +b0000000000000010111000111101000000000 B! +b00000000000000010111000111101000000000 K! +b11111111111111101000111000011000000000 M! +b0000000000000010111000111101000000000 P! +1S! +b1111111 T! +b0000010 U! +b0000001 V! +b001100 W! +b0000000000000101010001101100100000000 Y! +0[! +b01 `! +b00101101111111 a! +b11010110000010 b! +b00101100000001 c! +b100011001100 d! +b00000000000001000000111100100100000000000000000000101010001101100100000000 f! +b10 i! +b0101010001101100100000000 r! +b00001 s! +b000001 t! +b001100 v! +b0000000 w! +b00101010001101100100000000000000000000 x! +b010101000110 z! +b1100100000000000000000000 {! +b0000000 }! +b00000 ~! +b1010100011 !" +b000001010100011 "" +1&" +1'" +0)" +b000001010100011 *" +b0000001010100011 +" +b0000001010100011 ," +b0001100011000000 1" +b1000110011011110 :" +b00000000101110111000111110111111 M" +b0000000000000000000011000101111010110011100000111101100010100100100111001010001100001000000000010111100000110001001001010000100000100000100100001100000000100001 N" +b0000000010011011000101010 S" +b00001 T" +b00000000000000000000000111111111111111111111111001001001100010000011011111111111111111111111000000000000000000000001001001001100010000011010010010011000100000110 U" +b000000000000000000000010010010011000100000101001001001100010000010 n" +1w" +b000000000000000000110010110101001111101110001101 y" +b1001001001100010 )# +b00001 *# +b00011 5# +b00011 6# +b00110010110101001111101110001101 :# +#57 +b000000000000000000110010110101001111101110001101 H +0w" +#58 +b0000000000000000000000000000000000000000000000000000000000000000000000001010100011000110 b +b0000001010100011000110 e +b0000001010100011 f +b00011 g +b1111111111111111111111111111111111111111111111000000101010001100011101111111111111111111111111111111111111111111111 m +b00000010101000110001110 q +b0000001010100011 r +b00011 s +b00011 v +b0000001010100011 y +b00011 z +b000000000000000000110010110101001111101110001101 { +b1111101110001101 (! +b0011001011010100 )! +b0011110 6! +b0001100 7! +b0011011 :! +b1100110 ;! +b0011011 ! +b11011010100 ?! +b1100111000111011000100 A! +b0000000000000110011100011101100010000 B! +b00000000000000110011100011101100010000 K! +b11111111111111001100011100010011110000 M! +b0000000000000110011100011101100010000 P! +0S! +b0010110 T! +b1101011 U! +b0010110 V! +b100011 W! +b0000000000000100000011110010010000000 Y! +1[! +b00 `! +b11110100010110 a! +b00001111101011 b! +b00000010010110 c! +b000111100011 d! +b00000000000000101110001111010000000000000000000000100000011110010010000000 f! +b11 i! +b0100000011110010010000000 r! +b001110 v! +b0010110 w! +b10000001111001001000000000000000000000 x! +b100000011110 z! +b0100100000000000000000000 {! +b0010111 }! +b10111 ~! +b0000001111 !" +b101110000001111 "" +0&" +0'" +1)" +b101110000001111 *" +b1101110000001111 +" +b1101110000001111 ," +b1111101110001101 1" +b0011001011010100 :" +b00000000110110001000111010111111 M" +b0000000000000000000011000101111010110011100010111001101010100100000111001010001100001000000000010111100010111001000001110000100000100010100000001100010000100001 N" +b0000000100100111100000010 S" +b00011 T" +b00000000000000000000000111111111111111111111110000001010100011000111011111111111111111111111000000000000000000000000000001010100011000111000000010101000110001110 U" +b000000000000000000000000000010101000110001100000001010100011000110 n" +1w" +b000000000000000001010101111101000001001010000000 y" +b0000001010100011 )# +b00011 *# +b00001 5# +b00001 6# +b01010101111101000001001010000000 :# +#59 +b000000000000000001010101111101000001001010000000 H +0w" +#60 +b0000000000000000000000000000000000000000000000000000000000000000001101110000001111000010 b +b1101110000001111000010 e +b1101110000001111 f +b00001 g +b1111111111111111111111111111111111111111111111110111000000111100001101111111111111111111111111111111111111111111111 m +b11011100000011110000110 q +b1101110000001111 r +b00001 s +b00001 v +b1101110000001111 y +b00001 z +b000000000000000001010101111101000001001010000000 { +b0001001010000000 (! +b0101010111110100 )! +11! +02! +b0000100 6! +b0010101 7! +b0001010 :! +b1110111 ;! +b0001010 ! +b10111110100 ?! +b1001101011001000000000 A! +b0000000000000100110101100100000000000 B! +b1111111111111111111111111111111111111 H! +1J! +b10000000000000100110101100100000000000 K! +b11111111111111011001010011100000000000 M! +1O! +b0000000000000100110101100100000000000 P! +0R! +b1111010 T! +b0000111 U! +b0000001 V! +b000111 W! +b0000000000000010111000111101000000000 Y! +b00110111111010 a! +b11001100000111 b! +b00110110000001 c! +b100101000111 d! +b00000000000001100111000111011000100000000000000000010111000111101000000000 f! +b0010111000111101000000000 r! +b00010 s! +b000010 t! +b000111 v! +b0000001 w! +b00000000101110001111010000000000000000 x! +b000000010111 z! +b0001111010000000000000000 {! +b0000000 }! +b00000 ~! +b0000001011 !" +b000000000001011 "" +b11 #" +1&" +1'" +b000000000001100 *" +b1000000000001100 +" +b1000000000001100 ," +b0001001010000000 1" +b0101010111110100 :" +1L" +b00000000011101110000011110011011 M" +b0000000000000000000011000101111010110011100010111101100010100100100110001000001000001000000000010111100110110001010001000001000000101110101000010000000001000010 N" +b0000000001011110001110100 S" +b00001 T" +b00000000000000000000000111111111111111111111111101110000001111000011011111111111111111111111000000000000000000000001101110000001111000011011011100000011110000110 U" +b000000000000000000000011011100000011110000101101110000001111000010 n" +1w" +b000000000000000011000101000001001110001011101110 y" +b1101110000001111 )# +b00001 *# +b00011 5# +b00011 6# +b11000101000001001110001011101110 :# +#61 +b000000000000000011000101000001001110001011101110 H +0w" +#62 +b0000000000000000000000000000000000000000000000000000000000000000001000000000001100000110 b +b1000000000001100000110 e +b1000000000001100 f +b00011 g +b1111111111111111111111111111111111111111111111100000000000110000011101111111111111111111111111111111111111111111111 m +b10000000000011000001110 q +b1000000000001100 r +b00011 s +b00011 v +b1000000000001100 y +b00011 z +b000000000000000011000101000001001110001011101110 { +b1110001011101110 (! +b1100010100000100 )! +b0011000 6! +b0010001 7! +b0011010 :! +b1100111 ;! +b0011010 ! +b10100000100 ?! +b1000101100000110111000 A! +b0000000000000100010110000011011100000 B! +b10000000000000100010110000011011100000 K! +b11111111111111011101001111100100100000 M! +b0000000000000100010110000011011100000 P! +b0011011 T! +b1100110 U! +b0011011 V! +b100101 W! +b0000000000000110011100011101100010000 Y! +b10 `! +b00010100011011 a! +b11101111100110 b! +b00010100011011 c! +b010111100101 d! +b00000000000001001101011001000000000000000000000000110011100011101100010000 f! +b01 i! +b0110011100011101100010000 r! +b00001 s! +b000001 t! +b001110 v! +b0011011 w! +b11001110001110110001000000000000000000 x! +b110011100011 z! +b1011000100000000000000000 {! +b0011100 }! +b11100 ~! +b1001110001 !" +b111001001110001 "" +0&" +0'" +b111001001110010 *" +b1111001001110010 +" +b1111001001110010 ," +b1110001011101110 1" +b1100010100000100 :" +b00000010111101111001111110111111 M" +b0000000000000000000011000101111010010011100000111101100010110100100110001010001000001000000000010100100000110001011001010000100000100000101100001100000000100001 N" +b0000100011011100011100110 S" +b00011 T" +b00000000000000000000000111111111111111111111111000000000001100000111011111111111111111111111000000000000000000000001000000000001100000111010000000000011000001110 U" +b000000000000000000000010000000000011000001101000000000001100000110 n" +1w" +b000000000000000011001110100111011010100110010011 y" +b1000000000001100 )# +b00011 *# +b00001 5# +b00001 6# +b11001110100111011010100110010011 :# +#63 +b000000000000000011001110100111011010100110010011 H +0w" +#64 +b0000000000000000000000000000000000000000000000000000000000000000001111001001110010000010 b +b1111001001110010000010 e +b1111001001110010 f +b00001 g +b1111111111111111111111111111111111111111111111111100100111001000001101111111111111111111111111111111111111111111111 m +b11110010011100100000110 q +b1111001001110010 r +b00001 s +b00001 v +b1111001001110010 y +b00001 z +b000000000000000011001110100111011010100110010011 { +b1010100110010011 (! +b1100111010011101 )! +b0001010 6! +b0010011 7! +b0001110 :! +b1110011 ;! +b0001110 ! +b11010011101 ?! +b1001001101110100100111 A! +b0000000000000100100110111010010011100 B! +b10000000000000100100110111010010011100 K! +b11111111111111011011001000101101100100 M! +b0000000000000100100110111010010011100 P! +1S! +b0001010 T! +b1110111 U! +b0001010 V! +b010111 W! +b0000000000000100110101100100000000000 Y! +0[! +b11 `! +b00110100001010 a! +b11001111110111 b! +b00110100001010 c! +b100101010111 d! +b00000000000001000101100000110111000000000000000000100110101100100000000000 f! +b00 i! +b0100110101100100000000000 r! +b0001010 w! +b10011010110010000000000000000000000000 x! +b100110101100 z! +b1000000000000000000000000 {! +b0001011 }! +b01011 ~! +b0011010110 !" +b010110011010110 "" +b01 #" +0)" +b010110011010110 *" +b0010110011010110 +" +b0010110011010110 ," +b1010100110010011 1" +b1100111010011101 :" +0L" +b00000000001111101000011110011011 M" +b0000000000000000000011000101111010110011100010111101101010100100100111001000001100001000000000010111100110110101001001000000100000101110100100001000000000100001 N" +b0000000000010011010110010 S" +b00001 T" +b00000000000000000000000111111111111111111111111111001001110010000011011111111111111111111111000000000000000000000001111001001110010000011011110010011100100000110 U" +b000000000000000000000011110010011100100000101111001001110010000010 n" +1w" +b000000000000000010000110110101101111010000011101 y" +b1111001001110010 )# +b00001 *# +b10000110110101101111010000011101 :# +#65 +b000000000000000010000110110101101111010000011101 H +0w" +#66 +b0000000000000000000000000000000000000000000000000000000000000000000010110011010110000010 b +b0010110011010110000010 e +b0010110011010110 f +b1111111111111111111111111111111111111111111111001011001101011000001101111111111111111111111111111111111111111111111 m +b00101100110101100000110 q +b0010110011010110 r +b0010110011010110 y +b000000000000000010000110110101101111010000011101 { +b1111010000011101 (! +b1000011011010110 )! +b0011101 6! +b0000001 7! +b0001111 :! +b1110010 ;! +b0001111 ! +b11011010110 ?! +b0111000001111000111110 A! +b0000000000000011100000111100011111000 B! +b10000000000000011100000111100011111000 K! +b11111111111111100011111000011100001000 M! +b0000000000000011100000111100011111000 P! +b0011010 T! +b1100111 U! +b0011010 V! +b100101 W! +b0000000000000100010110000011011100000 Y! +b00011100011010 a! +b11100111100111 b! +b00011100011010 c! +b011011100101 d! +b00000000000001001001101110100100111000000000000000100010110000011011100000 f! +b0100010110000011011100000 r! +b0011010 w! +b10001011000001101110000000000000000000 x! +b100010110000 z! +b0110111000000000000000000 {! +b0011011 }! +b11011 ~! +b0001011000 !" +b110110001011000 "" +b110110001011000 *" +b0110110001011000 +" +b0110110001011000 ," +b1111010000011101 1" +b1000011011010110 :" +b00000001110011101000111110111111 M" +b0000000000000000000011000101111010110010100010111001101010110100000111001010001100001000000000010111100010111001000001010000100000100010100000001100010000100001 N" +b0000011101100000110100010 S" +b00000000000000000000000111111111111111111111110010110011010110000011011111111111111111111111000000000000000000000000010110011010110000011000101100110101100000110 U" +b000000000000000000000000101100110101100000100010110011010110000010 n" +1w" +b000000000000000011101111110010010010111010100111 y" +b0010110011010110 )# +b11101111110010010010111010100111 :# +#67 +b000000000000000011101111110010010010111010100111 H +0w" +#68 +b0000000000000000000000000000000000000000000000000000000000000000000110110001011000000010 b +b0110110001011000000010 e +b0110110001011000 f +b1111111111111111111111111111111111111111111111011011000101100000001101111111111111111111111111111111111111111111111 m +b01101100010110000000110 q +b0110110001011000 r +b0110110001011000 y +b000000000000000011101111110010010010111010100111 { +b0010111010100111 (! +b1110111111001001 )! +01! +12! +b0001011 6! +b0011011 7! +b0010111 :! +b1101010 ;! +b0010111 ! +b11111001001 ?! +b1100111100101000011111 A! +b0000000000000110011110010100001111100 B! +b0000000000000000000000000000000000000 H! +0J! +b00000000000000110011110010100001111100 K! +b11111111111111001100001101011110000100 M! +0O! +b0000000000000110011110010100001111100 P! +1R! +b0001110 T! +b1110011 U! +b0001110 V! +b011011 W! +b0000000000000100100110111010010011100 Y! +b00011110001110 a! +b11100101110011 b! +b00011110001110 c! +b011100011011 d! +b00000000000000111000001111000111110000000000000000100100110111010010011100 f! +b0100100110111010010011100 r! +b0001110 w! +b10010011011101001001110000000000000000 x! +b100100110111 z! +b0100100111000000000000000 {! +b0001111 }! +b01111 ~! +b0010011011 !" +b011110010011011 "" +b11 #" +b011110010011100 *" +b0011110010011100 +" +b0011110010011100 ," +b0010111010100111 1" +b1110111111001001 :" +1L" +b00000110111111101001111110111111 M" +b0000000000000000000011000101101010010011100010111001100010100100000111001000001100001000000000010100100010110001000001000000100000100010100000001100010000100001 N" +b0011100100101110110010010 S" +b00000000000000000000000111111111111111111111110110110001011000000011011111111111111111111111000000000000000000000000110110001011000000011001101100010110000000110 U" +b000000000000000000000001101100010110000000100110110001011000000010 n" +1w" +b000000000000000011001100101111000011101110100000 y" +b0110110001011000 )# +b11001100101111000011101110100000 :# +#69 +b000000000000000011001100101111000011101110100000 H +0w" +#70 +b0000000000000000000000000000000000000000000000000000000000000000000011110010011100000010 b +b0011110010011100000010 e +b0011110010011100 f +b1111111111111111111111111111111111111111111111001111001001110000001101111111111111111111111111111111111111111111111 m +b00111100100111000000110 q +b0011110010011100 r +b0011110010011100 y +b000000000000000011001100101111000011101110100000 { +b0011101110100000 (! +b1100110010111100 )! +b0001110 6! +b0010011 7! +b0010010 :! +b1101111 ;! +b0010010 ! +b10010111100 ?! +b1001000001100110000000 A! +b0000000000000100100000110011000000000 B! +b00000000000000100100000110011000000000 K! +b11111111111111011011111001101000000000 M! +b0000000000000100100000110011000000000 P! +b0001111 T! +b1110010 U! +b0001111 V! +b011100 W! +b0000000000000011100000111100011111000 Y! +b01 `! +b00101110001111 a! +b11010101110010 b! +b00101110001111 c! +b100100011100 d! +b00000000000001100111100101000011111000000000000000011100000111100011111000 f! +b10 i! +b0011100000111100011111000 r! +b00010 s! +b000010 t! +b001111 v! +b11100000111100011111000000000000000000 x! +b111000001111 z! +b0001111100000000000000000 {! +b1100000111 !" +b011111100000111 "" +b011111100001000 *" +b0011111100001000 +" +b0011111100001000 ," +b0011101110100000 1" +b1100110010111100 :" +b00000011101100110001111110111111 M" +b0000000000000000000011000101111010010010100010111101100010100100100111001000001000001000000000010100100010110001010001000001000000100010101000010100010001000010 N" +b0001111100011110000011100 S" +b00000000000000000000000111111111111111111111110011110010011100000011011111111111111111111111000000000000000000000000011110010011100000011000111100100111000000110 U" +b000000000000000000000000111100100111000000100011110010011100000010 n" +1w" +b000000000000000001110111110100011011100011001001 y" +b0011110010011100 )# +b01110111110100011011100011001001 :# +#71 +b000000000000000001110111110100011011100011001001 H +0w" +#72 +b0000000000000000000000000000000000000000000000000000000000000000000011111100001000000010 b +b0011111100001000000010 e +b0011111100001000 f +b1111111111111111111111111111111111111111111111001111110000100000001101111111111111111111111111111111111111111111111 m +b00111111000010000000110 q +b0011111100001000 r +b0011111100001000 y +b000000000000000001110111110100011011100011001001 { +b1011100011001001 (! +b0111011111010001 )! +b0011101 7! +b0011100 :! +b1100101 ;! +b0011100 ! +b11111010001 ?! +b1001010110011100011001 A! +b0000000000000100101011001110001100100 B! +b00000000000000100101011001110001100100 K! +b11111111111111011010100110001110011100 M! +b0000000000000100101011001110001100100 P! +0S! +b0010111 T! +b1101010 U! +b0010111 V! +b100100 W! +b0000000000000110011110010100001111100 Y! +1[! +b00 `! +b00100100010111 a! +b11011111101010 b! +b00100100010111 c! +b011111100100 d! +b00000000000001001000001100110000000000000000000000110011110010100001111100 f! +b11 i! +b0110011110010100001111100 r! +b00001 s! +b000001 t! +b001110 v! +b0010111 w! +b11001111001010000111110000000000000000 x! +b110011110010 z! +b1000011111000000000000000 {! +b0011000 }! +b11000 ~! +b1001111001 !" +b110001001111001 "" +b01 #" +1)" +b110001001111001 *" +b1110001001111001 +" +b1110001001111001 ," +b1011100011001001 1" +b0111011111010001 :" +0L" +b00000111001111111001111110111111 M" +b0000000000000000000011000101101010010010100010111101101010110100000110001010001000001000000000010100100100110101000001010000100000100100100000001100100000100001 N" +b0011111000010100111100110 S" +b00000000000000000000000111111111111111111111110011111100001000000011011111111111111111111111000000000000000000000000011111100001000000011000111111000010000000110 U" +b000000000000000000000000111111000010000000100011111100001000000010 n" +1w" +b000000000000000011111111000111011100011001100111 y" +b0011111100001000 )# +b11111111000111011100011001100111 :# +#73 +b111010111111111 D +b111010111111111 F +b000000000000000011111111000111011100011001100111 H +b1110111111 K +b1110111111 M +b111010111111111 O +0w" +#74 +b0000000000000000000000000000000000000000000000000000000000000000001110001001111001000010 b +b1110001001111001000010 e +b1110001001111001 f +b1111111111111111111111111111111111111111111111111000100111100100001101111111111111111111111111111111111111111111111 m +b11100010011110010000110 q +b1110001001111001 r +b1110001001111001 y +b000000000000000011111111000111011100011001100111 { +b001000010000101110000001 '! +b1100011001100111 (! +b1111111100011101 )! +b00001011 ,! +1/! +11! +02! +15! +b0010001 6! +b0011111 7! +b0100001 :! +b1100000 ;! +b0100001 ! +b01100011101 ?! +b0100111110111010101011 A! +b0000000000000010011111011101010101100 B! +b1111111111111111111111111111111111111 H! +1J! +b10000000000000010011111011101010101100 K! +b11111111111111101100000100010101010100 M! +1O! +b0000000000000010011111011101010101100 P! +0R! +b0010010 T! +b1101111 U! +b0010010 V! +b011111 W! +b0000000000000100100000110011000000000 Y! +b00111000010010 a! +b11001011101111 b! +b00111000010010 c! +b100101011111 d! +b00000000000001001010110011100011001000000000000000100100000110011000000000 f! +b0100100000110011000000000 r! +b0010010 w! +b10010000011001100000000000000000000000 x! +b100100000110 z! +b0110000000000000000000000 {! +b0010011 }! +b10011 ~! +b0010000011 !" +b100110010000011 "" +b100110010000011 *" +b1100110010000011 +" +b1100110010000011 ," +b1100011001100111 1" +b1111111100011101 :" +0<" +1>" +1@" +b00000000010100101000011110011011 M" +b0000000000000000000011000101111010110011100010111001101010100100100111001000001100001000000000010111100110111001010001000000100000101110101000001000000000100001 N" +b0000000001100110000010010 S" +b00000000000000000000000111111111111111111111111110001001111001000011011111111111111111111111000000000000000000000001110001001111001000011011100010011110010000110 U" +b000000000000000000000011100010011110010000101110001001111001000010 n" +1w" +b000000000000000000101001001010001000010011000110 y" +b1110001001111001 )# +b00101001001010001000010011000110 :# +#75 +b111000111111111 D +b111000111111111 F +b000000000000000000101001001010001000010011000110 H +b1100111111 K +b1100111111 M +b111000111111111 O +0w" +#76 +b0000000000000000000000000000000000000000000000000000000000000000001100110010000011000010 b +b1100110010000011000010 e +b1100110010000011 f +b1111111111111111111111111111111111111111111111110011001000001100001101111111111111111111111111111111111111111111111 m +b11001100100000110000110 q +b1100110010000011 r +b1100110010000011 y +b000000000000000000101001001010001000010011000110 { +b001000011000000110000001 '! +b1000010011000110 (! +b0010100100101000 )! +b10000001 ,! +0/! +01! +12! +05! +b0000001 6! +b0001010 7! +b1111100 :! +b0000101 ;! +b0000001 ! +b10100101000 ?! +b0110001001110011110000 A! +b0000000000000011000100111001111000000 B! +b0000000000000000000000000000000000000 H! +0J! +b00000000000000011000100111001111000000 K! +b11111111111111100111011000110001000000 M! +0O! +b0000000000000011000100111001111000000 P! +1R! +b0011100 T! +b1100101 U! +b0011100 V! +b100101 W! +b0000000000000100101011001110001100100 Y! +b10 `! +b01000010011100 a! +b11000001100101 b! +b01000010011100 c! +b100101100101 d! +b00000000000000100111110111010101011000000000000000100101011001110001100100 f! +b01 i! +b10 k! +b0100101011001110001100100 r! +b0011100 w! +b10010101100111000110010000000000000000 x! +b100101011001 z! +b1100011001000000000000000 {! +b0011101 }! +b11101 ~! +b0010101100 !" +b111010010101100 "" +b11 #" +b111010010101101 *" +b1111010010101101 +" +b1111010010101101 ," +b1000010011000110 1" +b0010100100101000 :" +1<" +0>" +0@" +1L" +b00000101011011101001111110111111 M" +b0000000000000000000011000101101010110010100010111001100010110100000110001000001100001000000000010110100100110001000001000000100000100100100000001100100000100001 N" +b0010011000111001101010010 S" +b00000000000000000000000111111111111111111111111100110010000011000011011111111111111111111111000000000000000000000001100110010000011000011011001100100000110000110 U" +b000000000000000000000011001100100000110000101100110010000011000010 n" +1w" +b000000000000000001100011101000011001000101001100 y" +b1100110010000011 )# +b01100011101000011001000101001100 :# +#77 +b000000000000000001100011101000011001000101001100 H +0w" +#78 +b0000000000000000000000000000000000000000000000000000000000000000001111010010101101000010 b +b1111010010101101000010 e +b1111010010101101 f +b1111111111111111111111111111111111111111111111111101001010110100001101111111111111111111111111111111111111111111111 m +b11110100101011010000110 q +b1111010010101101 r +b1111010010101101 y +b000000000000000001100011101000011001000101001100 { +b1001000101001100 (! +b0110001110100001 )! +b0000100 6! +b0011000 7! +b0001101 :! +b1110100 ;! +b0001101 ! +b11110100001 ?! +b1010000110100011001100 A! +b0000000000000101000011010001100110000 B! +b00000000000000101000011010001100110000 K! +b11111111111111010111100101110011010000 M! +b0000000000000101000011010001100110000 P! +1S! +b0100001 T! +b1100000 U! +b0100001 V! +b0000000000000010011111011101010101100 Y! +0[! +1]! +b01 `! +b11111000100001 a! +b00001011100000 b! +b00000010100001 c! +b001001100101 d! +b00000000000000110001001110011110000000000000000000010011111011101010101100 f! +b10 i! +b01 k! +b0010011111011101010101100 r! +b00010 s! +b000010 t! +b001111 v! +b0100000 w! +b10011111011101010101100000000000000000 x! +b100111110111 z! +b0101010110000000000000000 {! +b0100001 }! +b11110 ~! +b1111111111 !" +b111101111111111 "" +1$" +1%" +0)" +b111110000000000 *" +b0111110000000000 +" +b0111111000000000 ," +b1001000101001100 1" +b0110001110100001 :" +b00000111111111110001111110111111 M" +b0000000000000000000011000101101010110011100010111101100010110100000110001010001000001000000000010101100010110001000001010001000000100010100000010100010001000010 N" +b0011010101011101111100100 S" +b00000000000000000000000111111111111111111111111111010010101101000011011111111111111111111111000000000000000000000001111010010101101000011011110100101011010000110 U" +b000000000000000000000011110100101011010000101111010010101101000010 n" +1w" +b000000000000000001111011110000000011000100001110 y" +b1111010010101101 )# +b00101 5# +b00000 6# +b01111011110000000011000100001110 :# +#79 +b000000000000000001111011110000000011000100001110 H +0w" +#80 +b0000000000000000000000000000000000000000000000000000000000000000000111111000000000000000 b +b0111111000000000000000 e +b0111111000000000 f +b00000 g +b1111111111111111111111111111111111111111111111011111100000000000000101111111111111111111111111111111111111111111111 m +b01111110000000000000010 q +b0111111000000000 r +b00000 s +b00000 v +b0111111000000000 y +b00000 z +b000000000000000001111011110000000011000100001110 { +b0011000100001110 (! +b0111101111000000 )! +11! +02! +b0001100 6! +b0011110 7! +b0011011 :! +b1100110 ;! +b0011011 ! +b11111000000 ?! +b1001110010110010000000 A! +b0000000000000100111001011001000000000 B! +b1111111111111111111111111111111111111 H! +1J! +b10000000000000100111001011001000000000 K! +b11111111111111011000110100111000000000 M! +1O! +b0000000000000100111001011001000000000 P! +0R! +0S! +b1111100 T! +b0000101 U! +b0000001 V! +b001001 W! +b0000000000000011000100111001111000000 Y! +1[! +0]! +b00 `! +b00011011111100 a! +b11101000000101 b! +b00011010000001 c! +b011010001001 d! +b00000000000001010000110100011001100000000000000000011000100111001111000000 f! +b11 i! +b00 k! +b0011000100111001111000000 r! +b001001 v! +b0000001 w! +b00000011000100111001111000000000000000 x! +b000001100010 z! +b0111001111000000000000000 {! +b0000000 }! +b00000 ~! +b0000110001 !" +b000000000110001 "" +b01 #" +0$" +0%" +1&" +1'" +1)" +b000000000110001 *" +b1000000000110001 +" +b1000000000110001 ," +b0011000100001110 1" +b0111101111000000 :" +0L" +b00000001111101010000111110111111 M" +b0000000000000000000011000101111010110010100000111101100010100100100111001010001000001000000000010111100000110001010001110001000000100000101000010100000001000010 N" +b0000001111001110010001100 S" +b00000 T" +b00000000000000000000000111111111111111111111110111111000000000000001011111111111111111111111000000000000000000000000111111000000000000001001111110000000000000010 U" +b000000000000000000000001111110000000000000000111111000000000000000 n" +1w" +b000000000000000011101001011010110011011110011010 y" +b0111111000000000 )# +b00000 *# +b00011 5# +b00011 6# +b11101001011010110011011110011010 :# +#81 +b000000000000000011101001011010110011011110011010 H +0w" +#82 +b0000000000000000000000000000000000000000000000000000000000000000001000000000110001000110 b +b1000000000110001000110 e +b1000000000110001 f +b00011 g +b1111111111111111111111111111111111111111111111100000000011000100011101111111111111111111111111111111111111111111111 m +b10000000001100010001110 q +b1000000000110001 r +b00011 s +b00011 v +b1000000000110001 y +b00011 z +b000000000000000011101001011010110011011110011010 { +b0011011110011010 (! +b1110100101101011 )! +01! +12! +b0001101 6! +b0011010 7! +b0011000 :! +b1101001 ;! +b0011000 ! +b10101101011 ?! +b1010010010111101011110 A! +b0000000000000101001001011110101111000 B! +b0000000000000000000000000000000000000 H! +0J! +b00000000000000101001001011110101111000 K! +b11111111111111010110110100001010001000 M! +0O! +b0000000000000101001001011110101111000 P! +1R! +b0001101 T! +b1110100 U! +b0001101 V! +b011010 W! +b0000000000000101000011010001100110000 Y! +b10 `! +b00110110001101 a! +b11001101110100 b! +b00110110001101 c! +b100101011010 d! +b00000000000001001110010110010000000000000000000000101000011010001100110000 f! +b01 i! +b0101000011010001100110000 r! +b00001 s! +b000001 t! +b001110 v! +b0001101 w! +b10100001101000110011000000000000000000 x! +b101000011010 z! +b0011001100000000000000000 {! +b0001110 }! +b01110 ~! +b0100001101 !" +b011100100001101 "" +0&" +0'" +b011100100001101 *" +b1011100100001101 +" +b1011100100001101 ," +b0011011110011010 1" +b1110100101101011 :" +b00000011110110011001111010111111 M" +b0000000000000000000011000101111010010011100000111101101010110100000111001010001100001000000000010100100000111101000001110000100000100000100000001100000000100001 N" +b0000110011000101100001010 S" +b00011 T" +b00000000000000000000000111111111111111111111111000000000110001000111011111111111111111111111000000000000000000000001000000000110001000111010000000001100010001110 U" +b000000000000000000000010000000001100010001101000000000110001000110 n" +1w" +b000000000000000000111101110110011011101000001010 y" +b1000000000110001 )# +b00011 *# +b00001 5# +b00001 6# +b00111101110110011011101000001010 :# +#83 +b000000000000000000111101110110011011101000001010 H +0w" +#84 +b0000000000000000000000000000000000000000000000000000000000000000001011100100001101000010 b +b1011100100001101000010 e +b1011100100001101 f +b00001 g +b1111111111111111111111111111111111111111111111101110010000110100001101111111111111111111111111111111111111111111111 m +b10111001000011010000110 q +b1011100100001101 r +b00001 s +b00001 v +b1011100100001101 y +b00001 z +b000000000000000000111101110110011011101000001010 { +b1011101000001010 (! +b0011110111011001 )! +b0001110 6! +b0001111 7! +b0001110 :! +b1110011 ;! +b0001110 ! +b10111011001 ?! +b1000110101000001111010 A! +b0000000000000100011010100000111101000 B! +b00000000000000100011010100000111101000 K! +b11111111111111011100101011111000011000 M! +b0000000000000100011010100000111101000 P! +1S! +b0011011 T! +b1100110 U! +b0011011 V! +b100101 W! +b0000000000000100111001011001000000000 Y! +0[! +b01 `! +b00110000011011 a! +b11010011100110 b! +b00110000011011 c! +b100101100101 d! +b00000000000001010010010111101011110000000000000000100111001011001000000000 f! +b10 i! +b0100111001011001000000000 r! +b0011011 w! +b10011100101100100000000000000000000000 x! +b100111001011 z! +b0010000000000000000000000 {! +b0011100 }! +b11100 ~! +b0011100101 !" +b111000011100101 "" +b11 #" +0)" +b111000011100110 *" +b0111000011100110 +" +b0111000011100110 ," +b1011101000001010 1" +b0011110111011001 :" +1L" +b00000000011111101000011110011011 M" +b0000000000000000000011000101111010110011100010111101100010110100100110001000001100001000000000010111100110110001001001000000100000101110100100001000000000100001 N" +b0000000001001101001110010 S" +b00001 T" +b00000000000000000000000111111111111111111111111011100100001101000011011111111111111111111111000000000000000000000001011100100001101000011010111001000011010000110 U" +b000000000000000000000010111001000011010000101011100100001101000010 n" +1w" +b000000000000000000000001101101000010010110110101 y" +b1011100100001101 )# +b00001 *# +b00000001101101000010010110110101 :# +#85 +b000000000000000000000001101101000010010110110101 H +0w" +#86 +b0000000000000000000000000000000000000000000000000000000000000000000111000011100110000010 b +b0111000011100110000010 e +b0111000011100110 f +b1111111111111111111111111111111111111111111111011100001110011000001101111111111111111111111111111111111111111111111 m +b01110000111001100000110 q +b0111000011100110 r +b0111000011100110 y +b000000000000000000000001101101000010010110110101 { +b001000010100000110000001 '! +b0010010110110101 (! +b0000000110110100 )! +b01000001 ,! +11! +02! +b0001001 6! +b0000000 7! +b1111011 :! +b0000110 ;! +b0000001 ! +b00110110100 ?! +b0010011011100001000100 A! +b0000000000000001001101110000100010000 B! +b1111111111111111111111111111111111111 H! +1J! +b10000000000000001001101110000100010000 K! +b11111111111111110110010001111011110000 M! +1O! +b0000000000000001001101110000100010000 P! +0R! +0S! +b0011000 T! +b1101001 U! +b0011000 V! +b0000000000000101001001011110101111000 Y! +1[! +b00 `! +b00011100011000 a! +b11100111101001 b! +b00011100011000 c! +b011011100101 d! +b00000000000001000110101000001111010000000000000000101001001011110101111000 f! +b11 i! +b0101001001011110101111000 r! +b0011000 w! +b10100100101111010111100000000000000000 x! +b101001001011 z! +b1101011110000000000000000 {! +b0011001 }! +b11001 ~! +b0100100101 !" +b110010100100101 "" +1)" +b110010100100110 *" +b1110010100100110 +" +b1110010100100110 ," +b0010010110110101 1" +b0000000110110100 :" +0<" +1B" +b00000011111111011001111110111111 M" +b0000000000000000000011000101111010010010100000111001100010110100100110001010001100001000000000010100100000110001001001100000100000100000100100001100000000100001 N" +b0001111010111101001001010 S" +b00000000000000000000000111111111111111111111110111000011100110000011011111111111111111111111000000000000000000000000111000011100110000011001110000111001100000110 U" +b000000000000000000000001110000111001100000100111000011100110000010 n" +1w" +b000000000000000000111110110011100111101111010010 y" +b0111000011100110 )# +b00111110110011100111101111010010 :# +#87 +b000000000000000000111110110011100111101111010010 H +0w" +#88 +b0000000000000000000000000000000000000000000000000000000000000000001110010100100110000010 b +b1110010100100110000010 e +b1110010100100110 f +b1111111111111111111111111111111111111111111111111001010010011000001101111111111111111111111111111111111111111111111 m +b11100101001001100000110 q +b1110010100100110 r +b1110010100100110 y +b000000000000000000111110110011100111101111010010 { +b001000011000000110000001 '! +b0111101111010010 (! +b0011111011001110 )! +b10000001 ,! +b0011110 6! +b0001111 7! +b0011110 :! +b1100011 ;! +b0011110 ! +b11011001110 ?! +b1101010011011011111100 A! +b0000000000000110101001101101111110000 B! +b10000000000000110101001101101111110000 K! +b11111111111111001010110010010000010000 M! +b0000000000000110101001101101111110000 P! +b0001110 T! +b1110011 U! +b0001110 V! +b011011 W! +b0000000000000100011010100000111101000 Y! +b10 `! +b11110110001110 a! +b00001101110011 b! +b00000010001110 c! +b001000011011 d! +b00000000000000010011011100001000100000000000000000100011010100000111101000 f! +b01 i! +b0100011010100000111101000 r! +b0001110 w! +b10001101010000011110100000000000000000 x! +b100011010100 z! +b0001111010000000000000000 {! +b0001111 }! +b01111 ~! +b0001101010 !" +b011110001101010 "" +b01 #" +b011110001101010 *" +b1011110001101010 +" +b1011110001101010 ," +b0111101111010010 1" +b0011111011001110 :" +1<" +0B" +0L" +b00000011100111101001101110111111 M" +b0000000000000000000011000101111010110010100000111101101010100100000110001010001100001000000000010101100000111101000001010000100000100000100000001100000000100001 N" +b0001011110000010101100010 S" +b00000000000000000000000111111111111111111111111110010100100110000011011111111111111111111111000000000000000000000001110010100100110000011011100101001001100000110 U" +b000000000000000000000011100101001001100000101110010100100110000010 n" +1w" +b000000000000000001010000010100000001111000111100 y" +b1110010100100110 )# +b01010000010100000001111000111100 :# +#89 +b000000000000000001010000010100000001111000111100 H +0w" +#90 +b0000000000000000000000000000000000000000000000000000000000000000001011110001101010000010 b +b1011110001101010000010 e +b1011110001101010 f +b1111111111111111111111111111111111111111111111101111000110101000001101111111111111111111111111111111111111111111111 m +b10111100011010100000110 q +b1011110001101010 r +b1011110001101010 y +b000000000000000001010000010100000001111000111100 { +b0001111000111100 (! +b0101000001010000 )! +b0000111 6! +b0010100 7! +b0001100 :! +b1110101 ;! +b0001100 ! +b10001010000 ?! +b0110101110001011000000 A! +b0000000000000011010111000101100000000 B! +b10000000000000011010111000101100000000 K! +b11111111111111100101000111010100000000 M! +b0000000000000011010111000101100000000 P! +1S! +b1111011 T! +b0000110 U! +b0000001 V! +b001000 W! +b0000000000000001001101110000100010000 Y! +0[! +b11 `! +b00111101111011 a! +b11000110000110 b! +b00111100000001 c! +b100101001000 d! +b00000000000001101010011011011111100000000000000000001001101110000100010000 f! +b00 i! +b0001001101110000100010000 r! +b00011 s! +b000011 t! +b001000 v! +b0000001 w! +b00000000100110111000010001000000000000 x! +b000000010011 z! +b0111000010001000000000000 {! +b0000000 }! +b00000 ~! +b0000001001 !" +b000000000001001 "" +b11 #" +1&" +1'" +0)" +b000000000001010 *" +b0000000000001010 +" +b0000000000001010 ," +b0001111000111100 1" +b0101000001010000 :" +1L" +b00000010100111010001101110111111 M" +b0000000000000000000011000101111010010011100000111101101010100100100110001010001100001000000000010100100000111101001001100001100000100000100100011100000001100011 N" +b0000100010000111011001000 S" +b00000000000000000000000111111111111111111111111011110001101010000011011111111111111111111111000000000000000000000001011110001101010000011010111100011010100000110 U" +b000000000000000000000010111100011010100000101011110001101010000010 n" +1w" +b000000000000000001101000000101110111101011100100 y" +b1011110001101010 )# +b00011 5# +b00011 6# +b01101000000101110111101011100100 :# +#91 +b000000000000000001101000000101110111101011100100 H +0w" +#92 +b0000000000000000000000000000000000000000000000000000000000000000000000000000001010000110 b +b0000000000001010000110 e +b0000000000001010 f +b00011 g +b1111111111111111111111111111111111111111111111000000000000101000011101111111111111111111111111111111111111111111111 m +b00000000000010100001110 q +b0000000000001010 r +b00011 s +b00011 v +b0000000000001010 y +b00011 z +b000000000000000001101000000101110111101011100100 { +b0111101011100100 (! +b0110100000010111 )! +b0011110 6! +b0011010 7! +b0101001 :! +b1011000 ;! +b0101001 ! +b10000010111 ?! +b0111000010111001111100 A! +b0000000000000011100001011100111110000 B! +b10000000000000011100001011100111110000 K! +b11111111111111100011110100011000010000 M! +b0000000000000011100001011100111110000 P! +b0011110 T! +b1100011 U! +b0011110 V! +b100101 W! +b0000000000000110101001101101111110000 Y! +b00011000011110 a! +b11101011100011 b! +b00011000011110 c! +b011001100101 d! +b00000000000000110101110001011000000000000000000000110101001101101111110000 f! +b0110101001101101111110000 r! +b00001 s! +b000001 t! +b001110 v! +b0011110 w! +b11010100110110111111000000000000000000 x! +b110101001101 z! +b1011111100000000000000000 {! +b0011111 }! +b11110 ~! +b1111111111 !" +b111101111111111 "" +1$" +1%" +0&" +0'" +b111110000000000 *" +b0111110000000000 +" +b0111110000000000 ," +b0111101011100100 1" +b0110100000010111 :" +b00000011111111111001111110111111 M" +b0000000000000000000011000101111010010010100000111101100010100100100110001000001000001000000000010100100000110001001001000000100000100000100100001100000000100001 N" +b0000111111011011001010110 S" +b00011 T" +b00000000000000000000000111111111111111111111110000000000001010000111011111111111111111111111000000000000000000000000000000000001010000111000000000000010100001110 U" +b000000000000000000000000000000000010100001100000000000001010000110 n" +1w" +b000000000000000000110001100100111101111011000001 y" +b0000000000001010 )# +b00011 *# +b00101 5# +b00101 6# +b00110001100100111101111011000001 :# +#93 +b000000000000000000110001100100111101111011000001 H +0w" +#94 +b0000000000000000000000000000000000000000000000000000000000000000000111110000000000001010 b +b0111110000000000001010 e +b0111110000000000 f +b00101 g +b1111111111111111111111111111111111111111111111011111000000000000101101111111111111111111111111111111111111111111111 m +b01111100000000000010110 q +b0111110000000000 r +b00101 s +b00101 v +b0111110000000000 y +b00101 z +b000000000000000000110001100100111101111011000001 { +b1101111011000001 (! +b0011000110010011 )! +01! +12! +b0010111 6! +b0001100 7! +b0010100 :! +b1101101 ;! +b0010100 ! +b10110010011 ?! +b1001011010010111010011 A! +b0000000000000100101101001011101001100 B! +b0000000000000000000000000000000000000 H! +0J! +b00000000000000100101101001011101001100 K! +b11111111111111011010010110100010110100 M! +0O! +b0000000000000100101101001011101001100 P! +1R! +b0001100 T! +b1110101 U! +b0001100 V! +b011001 W! +b0000000000000011010111000101100000000 Y! +b01010010001100 a! +b10110001110101 b! +b01010010001100 c! +b100101011001 d! +b00000000000000111000010111001111100000000000000000011010111000101100000000 f! +b0011010111000101100000000 r! +b00010 s! +b000010 t! +b001111 v! +b0001011 w! +b11010111000101100000000000000000000000 x! +b110101110001 z! +b0110000000000000000000000 {! +b0001100 }! +b01100 ~! +b1010111000 !" +b011001010111000 "" +0$" +0%" +b011001010111001 *" +b0011001010111001 +" +b0011001010111001 ," +b1101111011000001 1" +b0011000110010011 :" +b00000000111011110000111110111111 M" +b0000000000000000000011000101111010110011100000111101101010110100000111001010001000001000000000010111100000110101000001010001000000100000100000010100000001000010 N" +b0000000011010001110101100 S" +b00101 T" +b00000000000000000000000111111111111111111111110111110000000000001011011111111111111111111111000000000000000000000000111110000000000001011001111100000000000010110 U" +b000000000000000000000001111100000000000010100111110000000000001010 n" +1w" +b000000000000000000100100000000100001000000101011 y" +b0111110000000000 )# +b00101 *# +b00001 5# +b00001 6# +b00100100000000100001000000101011 :# +#95 +b000000000000000000100100000000100001000000101011 H +0w" +#96 +b0000000000000000000000000000000000000000000000000000000000000000000011001010111001000010 b +b0011001010111001000010 e +b0011001010111001 f +b00001 g +b1111111111111111111111111111111111111111111111001100101011100100001101111111111111111111111111111111111111111111111 m +b00110010101110010000110 q +b0011001010111001 r +b00001 s +b00001 v +b0011001010111001 y +b00001 z +b000000000000000000100100000000100001000000101011 { +b0001000000101011 (! +b0010010000000010 )! +11! +02! +b0000100 6! +b0001001 7! +b1111110 :! +b0000011 ;! +b0000001 ! +b10000000010 ?! +b0100001011010001010110 A! +b0000000000000010000101101000101011000 B! +b1111111111111111111111111111111111111 H! +1J! +b10000000000000010000101101000101011000 K! +b11111111111111101111010010111010101000 M! +1O! +b0000000000000010000101101000101011000 P! +0R! +b0101001 T! +b1011000 U! +b0101001 V! +b100101 W! +b0000000000000011100001011100111110000 Y! +b01 `! +b00101000101001 a! +b11011011011000 b! +b00101000101001 c! +b100001100101 d! +b00000000000001001011010010111010011000000000000000011100001011100111110000 f! +b10 i! +b0011100001011100111110000 r! +b0101000 w! +b11100001011100111110000000000000000000 x! +b111000010111 z! +b0011111000000000000000000 {! +b0101001 }! +b11110 ~! +b1111111111 !" +b111101111111111 "" +1$" +1%" +b111110000000000 *" +b0111110000000000 +" +b0111110000000000 ," +b0001000000101011 1" +b0010010000000010 :" +b00000011101110110001111110111111 M" +b0000000000000000000011000101111010010010100000111101100010110100100111001000001000001000000000010100100000110001001001000001000000100000100100010100000001000010 N" +b0000111110011101000011100 S" +b00001 T" +b00000000000000000000000111111111111111111111110011001010111001000011011111111111111111111111000000000000000000000000011001010111001000011000110010101110010000110 U" +b000000000000000000000000110010101110010000100011001010111001000010 n" +1w" +b000000000000000000010011010110110001100101011001 y" +b0011001010111001 )# +b00001 *# +b00101 5# +b00101 6# +b00010011010110110001100101011001 :# +#97 +b000000000000000000010011010110110001100101011001 H +0w" +#98 +b0000000000000000000000000000000000000000000000000000000000000000000111110000000000001010 b +b0111110000000000001010 e +b0111110000000000 f +b00101 g +b1111111111111111111111111111111111111111111111011111000000000000101101111111111111111111111111111111111111111111111 m +b01111100000000000010110 q +b0111110000000000 r +b00101 s +b00101 v +b0111110000000000 y +b00101 z +b000000000000000000010011010110110001100101011001 { +b0001100101011001 (! +b0001001101011011 )! +b0000110 6! +b0000100 7! +b1111011 :! +b0000110 ;! +b001000 =! +b10101011001 >! +b11101011011 ?! +b1001110101010110100011 A! +b0000000000000100111010101011010001100 B! +b10000000000000100111010101011010001100 K! +b11111111111111011000101010100101110100 M! +b0000000000000100111010101011010001100 P! +0S! +b0010100 T! +b1101101 U! +b0010100 V! +b100001 W! +b0000000000000100101101001011101001100 Y! +1[! +b10 `! +b11111100010100 a! +b00000111101101 b! +b00000010010100 c! +b001011100001 d! +b00000000000000100001011010001010110000000000000000100101101001011101001100 f! +b01 i! +b0100101101001011101001100 r! +b00001 s! +b000001 t! +b001110 v! +b0010100 w! +b10010110100101110100110000000000000000 x! +b100101101001 z! +b0111010011000000000000000 {! +b0010101 }! +b10101 ~! +b0010110100 !" +b101010010110100 "" +0$" +0%" +1)" +b101010010110101 *" +b1101010010110101 +" +b1101010010110101 ," +b0001100101011001 1" +b0001001101011011 :" +b00000111111011101001111110111111 M" +b0000000000000000000011000101101010110010100000111001100010110100100110001000001100001000000000010101100000110001001001000000100000100000100100001100000000100001 N" +b0011001011101001011010010 S" +b00101 T" +b00000000000000000000000111111111111111111111110111110000000000001011011111111111111111111111000000000000000000000000111110000000000001011001111100000000000010110 U" +b000000000000000000000001111100000000000010100111110000000000001010 n" +1w" +b000000000000000001010100110011101000111001110101 y" +b0111110000000000 )# +b00101 *# +b00001 5# +b00001 6# +b01010100110011101000111001110101 :# +#99 +b000000000000000001010100110011101000111001110101 H +0w"