Skip to content

Commit 108d83b

Browse files
Add false paths to remove recovery failures to reset synchronizers
1 parent 547a837 commit 108d83b

File tree

1 file changed

+8
-0
lines changed

1 file changed

+8
-0
lines changed

hdk/cl/developer_designs/cl_firesim/build/constraints/cl_pnr_user.xdc

Lines changed: 8 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -5,4 +5,12 @@ set_clock_groups -name TIG_SRAI_1 -asynchronous -group [get_clocks -of_objects [
55
set_clock_groups -name TIG_SRAI_2 -asynchronous -group [get_clocks -of_objects [get_pins static_sh/SH_DEBUG_BRIDGE/inst/bsip/inst/USE_SOFTBSCAN.U_TAP_TCKBUFG/O]] -group [get_clocks drck]
66
set_clock_groups -name TIG_SRAI_3 -asynchronous -group [get_clocks -of_objects [get_pins static_sh/SH_DEBUG_BRIDGE/inst/bsip/inst/USE_SOFTBSCAN.U_TAP_TCKBUFG/O]] -group [get_clocks -of_objects [get_pins static_sh/pcie_inst/inst/gt_top_i/diablo_gt.diablo_gt_phy_wrapper/phy_clk_i/bufg_gt_userclk/O]]
77

8+
# False paths to FireSim reset synchronizers
9+
set_false_path -from [get_clocks clk_main_a0] \
10+
-to [get_cells {WRAPPER_INST/CL/pre_sync_rst_n_extra1_reg* \
11+
WRAPPER_INST/CL/pre_sync_rst_n_firesim_reg* \
12+
WRAPPER_INST/CL/rst_firesim_n_sync_reg* \
13+
WRAPPER_INST/CL/rst_extra1_n_sync_reg* }]
14+
15+
816
set_property CLOCK_DEDICATED_ROUTE ANY_CMT_COLUMN [get_nets WRAPPER_INST/SH/kernel_clks_i/clkwiz_sys_clk/inst/CLK_CORE_DRP_I/clk_inst/clk_out2]

0 commit comments

Comments
 (0)