Skip to content

Commit 1e082ba

Browse files
committed
apply changes from upstream cl_ example projects to cl_firesim. also eliminate simulators that we dont use, so we dont have to maintain their scripts
1 parent dee49e3 commit 1e082ba

File tree

10 files changed

+16
-217
lines changed

10 files changed

+16
-217
lines changed

hdk/cl/developer_designs/cl_firesim/build/scripts/.critical_warnings

Lines changed: 0 additions & 1 deletion
This file was deleted.

hdk/cl/developer_designs/cl_firesim/build/scripts/.warnings

Lines changed: 0 additions & 1 deletion
This file was deleted.

hdk/cl/developer_designs/cl_firesim/build/scripts/synth_cl_firesim.tcl

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -33,7 +33,7 @@ read_verilog -sv [glob $ENC_SRC_DIR/*.?v]
3333
puts "AWS FPGA: Reading AWS Shell design";
3434

3535
#Read AWS Design files
36-
read_verilog [ list \
36+
read_verilog -sv [ list \
3737
$HDK_SHELL_DESIGN_DIR/sh_ddr/synth/sync.v\
3838
$HDK_SHELL_DESIGN_DIR/sh_ddr/synth/flop_ccf.sv\
3939
$HDK_SHELL_DESIGN_DIR/sh_ddr/synth/ccf_ctl.v\

hdk/cl/developer_designs/cl_firesim/verif/scripts/Makefile

Lines changed: 2 additions & 2 deletions
Original file line numberDiff line numberDiff line change
@@ -34,12 +34,12 @@ else
3434
export C_TEST_NAME = $(C_SRC_DIR)/$(C_TEST).c
3535
endif
3636

37-
export SIM_ROOT = $(CL_ROOT)/verif/sim
37+
export SIM_ROOT = $(CL_ROOT)/verif/sim/$(SIMULATOR)
3838

3939
ifeq ($(C_TEST),test_null)
4040
export SIM_DIR = $(SIM_ROOT)/$(TEST)
4141
else
42-
export SIM_DIR = $(SIM_ROOT)/$(C_TEST)
42+
export SIM_DIR = $(SIM_ROOT)/$(C_TEST)_c
4343
endif
4444

4545

hdk/cl/developer_designs/cl_firesim/verif/scripts/Makefile.ies

Lines changed: 0 additions & 37 deletions
This file was deleted.

hdk/cl/developer_designs/cl_firesim/verif/scripts/Makefile.questa

Lines changed: 0 additions & 39 deletions
This file was deleted.

hdk/cl/developer_designs/cl_firesim/verif/scripts/Makefile.vcs

Lines changed: 8 additions & 3 deletions
Original file line numberDiff line numberDiff line change
@@ -29,9 +29,14 @@ compile: $(COMPLIB_DIR)
2929
cd $(SIM_DIR) && vcs tb $(TEST) $(C_FILES) -CFLAGS "-I$(C_SDK_USR_INC_DIR)" -CFLAGS "-I$(C_SDK_USR_UTILS_DIR)" -CFLAGS "-I$(C_COMMON_DIR)" -CFLAGS "-DSV_TEST" -CFLAGS "-DSCOPE" -CFLAGS "-I$(C_INC_DIR)" -debug_all -M -I +lint=TFIPC-L -debug_pp glbl -ntb_opts tb_timescale=1ps/1ps -timescale=1ps/1ps -sverilog -full64 +memcbk -licqueue -lca -v2005 -l compile.vcs.log
3030

3131
run:
32+
33+
ifeq ($(TEST),test_null)
34+
cd $(SIM_DIR) && ./simv -l $(C_TEST).log $(PLUSARGS) +ntb_random_seed_automatic +vpdfile+$(TEST).vpd
35+
else
3236
cd $(SIM_DIR) && ./simv -l $(TEST).log $(PLUSARGS) +ntb_random_seed_automatic +vpdfile+$(TEST).vpd
37+
endif
3338

3439
$(COMPLIB_DIR):
35-
cd $(SIM_ROOT)/.. && echo "compile_simlib -language all -dir $(COMPLIB_DIR) -simulator $(SIMULATOR) -library all -family all" > create_libs.tcl
36-
cd $(SIM_ROOT)/.. && vivado -mode batch -source create_libs.tcl
37-
cd $(SIM_ROOT)/.. && rm -rf create_libs.tcl
40+
cd $(SIM_ROOT) && echo "compile_simlib -language all -dir $(COMPLIB_DIR) -simulator $(SIMULATOR) -library all -family all" > create_libs.tcl
41+
cd $(SIM_ROOT) && vivado -mode batch -source create_libs.tcl
42+
cd $(SIM_ROOT) && rm -rf create_libs.tcl

hdk/cl/developer_designs/cl_firesim/verif/scripts/Makefile.vivado

Lines changed: 5 additions & 2 deletions
Original file line numberDiff line numberDiff line change
@@ -30,6 +30,9 @@ compile_chk:
3030
cd $(SIM_DIR) && xelab -m64 -d ENABLE_PROTOCOL_CHK --initfile $(XILINX_VIVADO)/data/xsim/ip/xsim_ip.ini --timescale 1ps/1ps --debug typical --relax --mt 8 -L axi_protocol_checker_v1_1_12 -L axi_clock_converter_v2_1_11 -L generic_baseblocks_v2_1_0 -L axi_infrastructure_v1_1_0 -L axi_register_slice_v2_1_12 -L fifo_generator_v13_1_4 -L axi_data_fifo_v2_1_11 -L axi_crossbar_v2_1_13 -L axi_dwidth_converter_v2_1_12 -L blk_mem_gen_v8_3_6 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm -sv_lib dpi --snapshot tb xil_defaultlib.tb xil_defaultlib.glbl xil_defaultlib.$(TEST)
3131

3232
run:
33-
cd $(SIM_DIR) && xsim -R -log test.log -tclbatch $(SCRIPTS_DIR)/waves.tcl tb
34-
3533

34+
ifeq ($(TEST),test_null)
35+
cd $(SIM_DIR) && xsim -R -log $(C_TEST).log -tclbatch $(SCRIPTS_DIR)/waves.tcl tb
36+
else
37+
cd $(SIM_DIR) && xsim -R -log $(TEST).log -tclbatch $(SCRIPTS_DIR)/waves.tcl tb
38+
endif

hdk/cl/developer_designs/cl_firesim/verif/scripts/top.ies.f

Lines changed: 0 additions & 64 deletions
This file was deleted.

hdk/cl/developer_designs/cl_firesim/verif/scripts/top.questa.f

Lines changed: 0 additions & 67 deletions
This file was deleted.

0 commit comments

Comments
 (0)