Skip to content

Commit 727cce0

Browse files
Support 16GiB target memory systems
Conflicts: hdk/cl/developer_designs/cl_firesim/design/cl_firesim_generated.sv
1 parent eee0d95 commit 727cce0

32 files changed

+427815
-29
lines changed

hdk/cl/developer_designs/cl_firesim/build/scripts/synth_cl_firesim.tcl

Lines changed: 1 addition & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -60,6 +60,7 @@ read_ip [ list \
6060
$CL_DIR/ip/axi_clock_converter_dramslim/axi_clock_converter_dramslim.xci \
6161
$CL_DIR/ip/axi_clock_converter_oclnew/axi_clock_converter_oclnew.xci \
6262
$CL_DIR/ip/axi_clock_converter_512_wide/axi_clock_converter_512_wide.xci \
63+
$CL_DIR/ip/axi_dwidth_converter_0/axi_dwidth_converter_0.xci \
6364
$CL_DIR/ip/clk_wiz_0_firesim/clk_wiz_0_firesim.xci
6465
]
6566

hdk/cl/developer_designs/cl_firesim/design/cl_firesim.sv

Lines changed: 188 additions & 29 deletions
Large diffs are not rendered by default.
Binary file not shown.
Lines changed: 140 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,140 @@
1+
// (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
2+
//
3+
// This file contains confidential and proprietary information
4+
// of Xilinx, Inc. and is protected under U.S. and
5+
// international copyright and other intellectual property
6+
// laws.
7+
//
8+
// DISCLAIMER
9+
// This disclaimer is not a license and does not grant any
10+
// rights to the materials distributed herewith. Except as
11+
// otherwise provided in a valid license issued to you by
12+
// Xilinx, and to the maximum extent permitted by applicable
13+
// law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
14+
// WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
15+
// AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
16+
// BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
17+
// INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
18+
// (2) Xilinx shall not be liable (whether in contract or tort,
19+
// including negligence, or under any other theory of
20+
// liability) for any loss or damage of any kind or nature
21+
// related to, arising under or in connection with these
22+
// materials, including for any direct, or any indirect,
23+
// special, incidental, or consequential loss or damage
24+
// (including loss of data, profits, goodwill, or any type of
25+
// loss or damage suffered as a result of any action brought
26+
// by a third party) even if such damage or loss was
27+
// reasonably foreseeable or Xilinx had been advised of the
28+
// possibility of the same.
29+
//
30+
// CRITICAL APPLICATIONS
31+
// Xilinx products are not designed or intended to be fail-
32+
// safe, or for use in any application requiring fail-safe
33+
// performance, such as life-support or safety devices or
34+
// systems, Class III medical devices, nuclear facilities,
35+
// applications related to the deployment of airbags, or any
36+
// other applications that could lead to death, personal
37+
// injury, or severe property or environmental damage
38+
// (individually and collectively, "Critical
39+
// Applications"). Customer assumes the sole risk and
40+
// liability of any use of Xilinx products in Critical
41+
// Applications, subject only to applicable laws and
42+
// regulations governing limitations on product liability.
43+
//
44+
// THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
45+
// PART OF THIS FILE AT ALL TIMES.
46+
//
47+
// DO NOT MODIFY THIS FILE.
48+
49+
// IP VLNV: xilinx.com:ip:axi_dwidth_converter:2.1
50+
// IP Revision: 12
51+
52+
// The following must be inserted into your Verilog file for this
53+
// core to be instantiated. Change the instance name and port connections
54+
// (in parentheses) to your own signal names.
55+
56+
//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG
57+
axi_dwidth_converter_0 your_instance_name (
58+
.s_axi_aclk(s_axi_aclk), // input wire s_axi_aclk
59+
.s_axi_aresetn(s_axi_aresetn), // input wire s_axi_aresetn
60+
.s_axi_awid(s_axi_awid), // input wire [15 : 0] s_axi_awid
61+
.s_axi_awaddr(s_axi_awaddr), // input wire [63 : 0] s_axi_awaddr
62+
.s_axi_awlen(s_axi_awlen), // input wire [7 : 0] s_axi_awlen
63+
.s_axi_awsize(s_axi_awsize), // input wire [2 : 0] s_axi_awsize
64+
.s_axi_awburst(s_axi_awburst), // input wire [1 : 0] s_axi_awburst
65+
.s_axi_awlock(s_axi_awlock), // input wire [0 : 0] s_axi_awlock
66+
.s_axi_awcache(s_axi_awcache), // input wire [3 : 0] s_axi_awcache
67+
.s_axi_awprot(s_axi_awprot), // input wire [2 : 0] s_axi_awprot
68+
.s_axi_awregion(s_axi_awregion), // input wire [3 : 0] s_axi_awregion
69+
.s_axi_awqos(s_axi_awqos), // input wire [3 : 0] s_axi_awqos
70+
.s_axi_awvalid(s_axi_awvalid), // input wire s_axi_awvalid
71+
.s_axi_awready(s_axi_awready), // output wire s_axi_awready
72+
.s_axi_wdata(s_axi_wdata), // input wire [63 : 0] s_axi_wdata
73+
.s_axi_wstrb(s_axi_wstrb), // input wire [7 : 0] s_axi_wstrb
74+
.s_axi_wlast(s_axi_wlast), // input wire s_axi_wlast
75+
.s_axi_wvalid(s_axi_wvalid), // input wire s_axi_wvalid
76+
.s_axi_wready(s_axi_wready), // output wire s_axi_wready
77+
.s_axi_bid(s_axi_bid), // output wire [15 : 0] s_axi_bid
78+
.s_axi_bresp(s_axi_bresp), // output wire [1 : 0] s_axi_bresp
79+
.s_axi_bvalid(s_axi_bvalid), // output wire s_axi_bvalid
80+
.s_axi_bready(s_axi_bready), // input wire s_axi_bready
81+
.s_axi_arid(s_axi_arid), // input wire [15 : 0] s_axi_arid
82+
.s_axi_araddr(s_axi_araddr), // input wire [63 : 0] s_axi_araddr
83+
.s_axi_arlen(s_axi_arlen), // input wire [7 : 0] s_axi_arlen
84+
.s_axi_arsize(s_axi_arsize), // input wire [2 : 0] s_axi_arsize
85+
.s_axi_arburst(s_axi_arburst), // input wire [1 : 0] s_axi_arburst
86+
.s_axi_arlock(s_axi_arlock), // input wire [0 : 0] s_axi_arlock
87+
.s_axi_arcache(s_axi_arcache), // input wire [3 : 0] s_axi_arcache
88+
.s_axi_arprot(s_axi_arprot), // input wire [2 : 0] s_axi_arprot
89+
.s_axi_arregion(s_axi_arregion), // input wire [3 : 0] s_axi_arregion
90+
.s_axi_arqos(s_axi_arqos), // input wire [3 : 0] s_axi_arqos
91+
.s_axi_arvalid(s_axi_arvalid), // input wire s_axi_arvalid
92+
.s_axi_arready(s_axi_arready), // output wire s_axi_arready
93+
.s_axi_rid(s_axi_rid), // output wire [15 : 0] s_axi_rid
94+
.s_axi_rdata(s_axi_rdata), // output wire [63 : 0] s_axi_rdata
95+
.s_axi_rresp(s_axi_rresp), // output wire [1 : 0] s_axi_rresp
96+
.s_axi_rlast(s_axi_rlast), // output wire s_axi_rlast
97+
.s_axi_rvalid(s_axi_rvalid), // output wire s_axi_rvalid
98+
.s_axi_rready(s_axi_rready), // input wire s_axi_rready
99+
.m_axi_awaddr(m_axi_awaddr), // output wire [63 : 0] m_axi_awaddr
100+
.m_axi_awlen(m_axi_awlen), // output wire [7 : 0] m_axi_awlen
101+
.m_axi_awsize(m_axi_awsize), // output wire [2 : 0] m_axi_awsize
102+
.m_axi_awburst(m_axi_awburst), // output wire [1 : 0] m_axi_awburst
103+
.m_axi_awlock(m_axi_awlock), // output wire [0 : 0] m_axi_awlock
104+
.m_axi_awcache(m_axi_awcache), // output wire [3 : 0] m_axi_awcache
105+
.m_axi_awprot(m_axi_awprot), // output wire [2 : 0] m_axi_awprot
106+
.m_axi_awregion(m_axi_awregion), // output wire [3 : 0] m_axi_awregion
107+
.m_axi_awqos(m_axi_awqos), // output wire [3 : 0] m_axi_awqos
108+
.m_axi_awvalid(m_axi_awvalid), // output wire m_axi_awvalid
109+
.m_axi_awready(m_axi_awready), // input wire m_axi_awready
110+
.m_axi_wdata(m_axi_wdata), // output wire [511 : 0] m_axi_wdata
111+
.m_axi_wstrb(m_axi_wstrb), // output wire [63 : 0] m_axi_wstrb
112+
.m_axi_wlast(m_axi_wlast), // output wire m_axi_wlast
113+
.m_axi_wvalid(m_axi_wvalid), // output wire m_axi_wvalid
114+
.m_axi_wready(m_axi_wready), // input wire m_axi_wready
115+
.m_axi_bresp(m_axi_bresp), // input wire [1 : 0] m_axi_bresp
116+
.m_axi_bvalid(m_axi_bvalid), // input wire m_axi_bvalid
117+
.m_axi_bready(m_axi_bready), // output wire m_axi_bready
118+
.m_axi_araddr(m_axi_araddr), // output wire [63 : 0] m_axi_araddr
119+
.m_axi_arlen(m_axi_arlen), // output wire [7 : 0] m_axi_arlen
120+
.m_axi_arsize(m_axi_arsize), // output wire [2 : 0] m_axi_arsize
121+
.m_axi_arburst(m_axi_arburst), // output wire [1 : 0] m_axi_arburst
122+
.m_axi_arlock(m_axi_arlock), // output wire [0 : 0] m_axi_arlock
123+
.m_axi_arcache(m_axi_arcache), // output wire [3 : 0] m_axi_arcache
124+
.m_axi_arprot(m_axi_arprot), // output wire [2 : 0] m_axi_arprot
125+
.m_axi_arregion(m_axi_arregion), // output wire [3 : 0] m_axi_arregion
126+
.m_axi_arqos(m_axi_arqos), // output wire [3 : 0] m_axi_arqos
127+
.m_axi_arvalid(m_axi_arvalid), // output wire m_axi_arvalid
128+
.m_axi_arready(m_axi_arready), // input wire m_axi_arready
129+
.m_axi_rdata(m_axi_rdata), // input wire [511 : 0] m_axi_rdata
130+
.m_axi_rresp(m_axi_rresp), // input wire [1 : 0] m_axi_rresp
131+
.m_axi_rlast(m_axi_rlast), // input wire m_axi_rlast
132+
.m_axi_rvalid(m_axi_rvalid), // input wire m_axi_rvalid
133+
.m_axi_rready(m_axi_rready) // output wire m_axi_rready
134+
);
135+
// INST_TAG_END ------ End INSTANTIATION Template ---------
136+
137+
// You must compile the wrapper file axi_dwidth_converter_0.v when simulating
138+
// the core, axi_dwidth_converter_0. When compiling the wrapper file, be sure to
139+
// reference the Verilog simulation library.
140+
Lines changed: 225 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,225 @@
1+
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
2+
--
3+
-- This file contains confidential and proprietary information
4+
-- of Xilinx, Inc. and is protected under U.S. and
5+
-- international copyright and other intellectual property
6+
-- laws.
7+
--
8+
-- DISCLAIMER
9+
-- This disclaimer is not a license and does not grant any
10+
-- rights to the materials distributed herewith. Except as
11+
-- otherwise provided in a valid license issued to you by
12+
-- Xilinx, and to the maximum extent permitted by applicable
13+
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
14+
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
15+
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
16+
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
17+
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
18+
-- (2) Xilinx shall not be liable (whether in contract or tort,
19+
-- including negligence, or under any other theory of
20+
-- liability) for any loss or damage of any kind or nature
21+
-- related to, arising under or in connection with these
22+
-- materials, including for any direct, or any indirect,
23+
-- special, incidental, or consequential loss or damage
24+
-- (including loss of data, profits, goodwill, or any type of
25+
-- loss or damage suffered as a result of any action brought
26+
-- by a third party) even if such damage or loss was
27+
-- reasonably foreseeable or Xilinx had been advised of the
28+
-- possibility of the same.
29+
--
30+
-- CRITICAL APPLICATIONS
31+
-- Xilinx products are not designed or intended to be fail-
32+
-- safe, or for use in any application requiring fail-safe
33+
-- performance, such as life-support or safety devices or
34+
-- systems, Class III medical devices, nuclear facilities,
35+
-- applications related to the deployment of airbags, or any
36+
-- other applications that could lead to death, personal
37+
-- injury, or severe property or environmental damage
38+
-- (individually and collectively, "Critical
39+
-- Applications"). Customer assumes the sole risk and
40+
-- liability of any use of Xilinx products in Critical
41+
-- Applications, subject only to applicable laws and
42+
-- regulations governing limitations on product liability.
43+
--
44+
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
45+
-- PART OF THIS FILE AT ALL TIMES.
46+
--
47+
-- DO NOT MODIFY THIS FILE.
48+
49+
-- IP VLNV: xilinx.com:ip:axi_dwidth_converter:2.1
50+
-- IP Revision: 12
51+
52+
-- The following code must appear in the VHDL architecture header.
53+
54+
------------- Begin Cut here for COMPONENT Declaration ------ COMP_TAG
55+
COMPONENT axi_dwidth_converter_0
56+
PORT (
57+
s_axi_aclk : IN STD_LOGIC;
58+
s_axi_aresetn : IN STD_LOGIC;
59+
s_axi_awid : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
60+
s_axi_awaddr : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
61+
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
62+
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
63+
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
64+
s_axi_awlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
65+
s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
66+
s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
67+
s_axi_awregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
68+
s_axi_awqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
69+
s_axi_awvalid : IN STD_LOGIC;
70+
s_axi_awready : OUT STD_LOGIC;
71+
s_axi_wdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
72+
s_axi_wstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
73+
s_axi_wlast : IN STD_LOGIC;
74+
s_axi_wvalid : IN STD_LOGIC;
75+
s_axi_wready : OUT STD_LOGIC;
76+
s_axi_bid : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
77+
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
78+
s_axi_bvalid : OUT STD_LOGIC;
79+
s_axi_bready : IN STD_LOGIC;
80+
s_axi_arid : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
81+
s_axi_araddr : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
82+
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
83+
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
84+
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
85+
s_axi_arlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
86+
s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
87+
s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
88+
s_axi_arregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
89+
s_axi_arqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
90+
s_axi_arvalid : IN STD_LOGIC;
91+
s_axi_arready : OUT STD_LOGIC;
92+
s_axi_rid : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
93+
s_axi_rdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
94+
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
95+
s_axi_rlast : OUT STD_LOGIC;
96+
s_axi_rvalid : OUT STD_LOGIC;
97+
s_axi_rready : IN STD_LOGIC;
98+
m_axi_awaddr : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
99+
m_axi_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
100+
m_axi_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
101+
m_axi_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
102+
m_axi_awlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
103+
m_axi_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
104+
m_axi_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
105+
m_axi_awregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
106+
m_axi_awqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
107+
m_axi_awvalid : OUT STD_LOGIC;
108+
m_axi_awready : IN STD_LOGIC;
109+
m_axi_wdata : OUT STD_LOGIC_VECTOR(511 DOWNTO 0);
110+
m_axi_wstrb : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
111+
m_axi_wlast : OUT STD_LOGIC;
112+
m_axi_wvalid : OUT STD_LOGIC;
113+
m_axi_wready : IN STD_LOGIC;
114+
m_axi_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
115+
m_axi_bvalid : IN STD_LOGIC;
116+
m_axi_bready : OUT STD_LOGIC;
117+
m_axi_araddr : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
118+
m_axi_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
119+
m_axi_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
120+
m_axi_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
121+
m_axi_arlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
122+
m_axi_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
123+
m_axi_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
124+
m_axi_arregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
125+
m_axi_arqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
126+
m_axi_arvalid : OUT STD_LOGIC;
127+
m_axi_arready : IN STD_LOGIC;
128+
m_axi_rdata : IN STD_LOGIC_VECTOR(511 DOWNTO 0);
129+
m_axi_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
130+
m_axi_rlast : IN STD_LOGIC;
131+
m_axi_rvalid : IN STD_LOGIC;
132+
m_axi_rready : OUT STD_LOGIC
133+
);
134+
END COMPONENT;
135+
-- COMP_TAG_END ------ End COMPONENT Declaration ------------
136+
137+
-- The following code must appear in the VHDL architecture
138+
-- body. Substitute your own instance name and net names.
139+
140+
------------- Begin Cut here for INSTANTIATION Template ----- INST_TAG
141+
your_instance_name : axi_dwidth_converter_0
142+
PORT MAP (
143+
s_axi_aclk => s_axi_aclk,
144+
s_axi_aresetn => s_axi_aresetn,
145+
s_axi_awid => s_axi_awid,
146+
s_axi_awaddr => s_axi_awaddr,
147+
s_axi_awlen => s_axi_awlen,
148+
s_axi_awsize => s_axi_awsize,
149+
s_axi_awburst => s_axi_awburst,
150+
s_axi_awlock => s_axi_awlock,
151+
s_axi_awcache => s_axi_awcache,
152+
s_axi_awprot => s_axi_awprot,
153+
s_axi_awregion => s_axi_awregion,
154+
s_axi_awqos => s_axi_awqos,
155+
s_axi_awvalid => s_axi_awvalid,
156+
s_axi_awready => s_axi_awready,
157+
s_axi_wdata => s_axi_wdata,
158+
s_axi_wstrb => s_axi_wstrb,
159+
s_axi_wlast => s_axi_wlast,
160+
s_axi_wvalid => s_axi_wvalid,
161+
s_axi_wready => s_axi_wready,
162+
s_axi_bid => s_axi_bid,
163+
s_axi_bresp => s_axi_bresp,
164+
s_axi_bvalid => s_axi_bvalid,
165+
s_axi_bready => s_axi_bready,
166+
s_axi_arid => s_axi_arid,
167+
s_axi_araddr => s_axi_araddr,
168+
s_axi_arlen => s_axi_arlen,
169+
s_axi_arsize => s_axi_arsize,
170+
s_axi_arburst => s_axi_arburst,
171+
s_axi_arlock => s_axi_arlock,
172+
s_axi_arcache => s_axi_arcache,
173+
s_axi_arprot => s_axi_arprot,
174+
s_axi_arregion => s_axi_arregion,
175+
s_axi_arqos => s_axi_arqos,
176+
s_axi_arvalid => s_axi_arvalid,
177+
s_axi_arready => s_axi_arready,
178+
s_axi_rid => s_axi_rid,
179+
s_axi_rdata => s_axi_rdata,
180+
s_axi_rresp => s_axi_rresp,
181+
s_axi_rlast => s_axi_rlast,
182+
s_axi_rvalid => s_axi_rvalid,
183+
s_axi_rready => s_axi_rready,
184+
m_axi_awaddr => m_axi_awaddr,
185+
m_axi_awlen => m_axi_awlen,
186+
m_axi_awsize => m_axi_awsize,
187+
m_axi_awburst => m_axi_awburst,
188+
m_axi_awlock => m_axi_awlock,
189+
m_axi_awcache => m_axi_awcache,
190+
m_axi_awprot => m_axi_awprot,
191+
m_axi_awregion => m_axi_awregion,
192+
m_axi_awqos => m_axi_awqos,
193+
m_axi_awvalid => m_axi_awvalid,
194+
m_axi_awready => m_axi_awready,
195+
m_axi_wdata => m_axi_wdata,
196+
m_axi_wstrb => m_axi_wstrb,
197+
m_axi_wlast => m_axi_wlast,
198+
m_axi_wvalid => m_axi_wvalid,
199+
m_axi_wready => m_axi_wready,
200+
m_axi_bresp => m_axi_bresp,
201+
m_axi_bvalid => m_axi_bvalid,
202+
m_axi_bready => m_axi_bready,
203+
m_axi_araddr => m_axi_araddr,
204+
m_axi_arlen => m_axi_arlen,
205+
m_axi_arsize => m_axi_arsize,
206+
m_axi_arburst => m_axi_arburst,
207+
m_axi_arlock => m_axi_arlock,
208+
m_axi_arcache => m_axi_arcache,
209+
m_axi_arprot => m_axi_arprot,
210+
m_axi_arregion => m_axi_arregion,
211+
m_axi_arqos => m_axi_arqos,
212+
m_axi_arvalid => m_axi_arvalid,
213+
m_axi_arready => m_axi_arready,
214+
m_axi_rdata => m_axi_rdata,
215+
m_axi_rresp => m_axi_rresp,
216+
m_axi_rlast => m_axi_rlast,
217+
m_axi_rvalid => m_axi_rvalid,
218+
m_axi_rready => m_axi_rready
219+
);
220+
-- INST_TAG_END ------ End INSTANTIATION Template ---------
221+
222+
-- You must compile the wrapper file axi_dwidth_converter_0.vhd when simulating
223+
-- the core, axi_dwidth_converter_0. When compiling the wrapper file, be sure to
224+
-- reference the VHDL simulation library.
225+

0 commit comments

Comments
 (0)