Skip to content

Commit 094385a

Browse files
committed
style: modify module's name by common var
1 parent 511c319 commit 094385a

File tree

7 files changed

+40
-32
lines changed

7 files changed

+40
-32
lines changed

rtl/tc_l2/src/main/scala/common/InstConfig.scala

Lines changed: 1 addition & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -6,6 +6,7 @@ import chisel3.util._
66
trait InstConfig {
77
val SoCEna = false
88
val XLen = 64
9+
val InstLen = 32
910
val RegfileNum = 32
1011
val FlashStartAddr = "h0000000030000000".U(XLen.W)
1112
val SimStartAddr = "h0000000080000000".U(XLen.W)

rtl/tc_l2/src/main/scala/core/exec/BEU.scala

Lines changed: 6 additions & 6 deletions
Original file line numberDiff line numberDiff line change
@@ -3,19 +3,19 @@ package treecorel2
33
import chisel3._
44
import chisel3.util._
55

6-
import treecorel2.common.ConstVal
6+
import treecorel2.common.{ConstVal, InstConfig}
77

8-
class BEU extends Module {
8+
class BEU extends Module with InstConfig {
99
val io = IO(new Bundle {
1010
val isa = Input(new ISAIO)
1111
val imm = Input(new IMMIO)
12-
val src1 = Input(UInt(64.W))
13-
val src2 = Input(UInt(64.W))
14-
val pc = Input(UInt(64.W))
12+
val src1 = Input(UInt(XLen.W))
13+
val src2 = Input(UInt(XLen.W))
14+
val pc = Input(UInt(XLen.W))
1515
val branIdx = Input(UInt(ConstVal.GHRLen.W))
1616
val branchInfo = new BRANCHIO
1717
val branch = Output(Bool())
18-
val tgt = Output(UInt(64.W))
18+
val tgt = Output(UInt(XLen.W))
1919
})
2020

2121
protected val beq = io.isa.BEQ && (io.src1 === io.src2)

rtl/tc_l2/src/main/scala/core/exec/EXU.scala

Lines changed: 5 additions & 4 deletions
Original file line numberDiff line numberDiff line change
@@ -29,6 +29,7 @@ class EXU extends Module {
2929
protected val rs2 = exReg.inst(24, 20)
3030
protected val wdest = exReg.wdest
3131

32+
// handle bypass signal
3233
protected val bypassMemSrc1En = io.bypassMem.wen && (rs1 === io.bypassMem.wdest) && (rs1 =/= 0.U)
3334
protected val bypassMemSrc2En = io.bypassMem.wen && (rs2 === io.bypassMem.wdest) && (rs2 =/= 0.U)
3435
protected val bypassWbSrc1En = io.bypassWb.wen && (rs1 === io.bypassWb.wdest) && (rs1 =/= 0.U)
@@ -69,15 +70,15 @@ class EXU extends Module {
6970
protected val link = SignExt((isa.JAL | isa.JALR).asUInt, 64) & (pc + 4.U)
7071
protected val auipc = SignExt(isa.AUIPC.asUInt, 64) & (pc + imm.U)
7172

72-
protected val csrReg = Module(new CSRReg)
73+
protected val csrReg = Module(new CSRReg)
74+
protected val csrData = csrReg.io.data
75+
protected val timeIntrEn = csrReg.io.timeIntrEn
76+
protected val ecallEn = csrReg.io.ecallEn
7377
csrReg.io.globalEn := io.globalEn
7478
csrReg.io.pc := pc
7579
csrReg.io.inst := Mux(valid, inst, 0x13.U)
7680
csrReg.io.src := src1
7781
csrReg.io.mtip := io.mtip
78-
protected val csrData = csrReg.io.data
79-
protected val timeIntrEn = csrReg.io.timeIntrEn
80-
protected val ecallEn = csrReg.io.ecallEn
8182

8283
io.nxtPC.trap := valid && (timeIntrEn || ecallEn)
8384
io.nxtPC.mtvec := csrReg.io.csrState.mtvec

rtl/tc_l2/src/main/scala/core/id/ISADecoder.scala

Lines changed: 2 additions & 0 deletions
Original file line numberDiff line numberDiff line change
@@ -3,6 +3,8 @@ package treecorel2
33
import chisel3._
44
import chisel3.util._
55

6+
object ISADecoder {}
7+
68
class ISADecoder extends Module {
79
val io = IO(new Bundle {
810
val inst = Input(UInt(32.W))

rtl/tc_l2/src/main/scala/core/id/ImmExten.scala

Lines changed: 9 additions & 7 deletions
Original file line numberDiff line numberDiff line change
@@ -3,9 +3,11 @@ package treecorel2
33
import chisel3._
44
import chisel3.util._
55

6-
class ImmExten extends Module {
6+
import treecorel2.common.InstConfig
7+
8+
class ImmExten extends Module with InstConfig {
79
val io = IO(new Bundle {
8-
val inst = Input(UInt(32.W))
10+
val inst = Input(UInt(InstLen.W))
911
val imm = Output(new IMMIO)
1012
})
1113

@@ -15,9 +17,9 @@ class ImmExten extends Module {
1517
protected val U = Cat(io.inst(31, 12), 0.U(12.W))
1618
protected val J = Cat(io.inst(31), io.inst(19, 12), io.inst(20), io.inst(30, 21), 0.U(1.W))
1719

18-
io.imm.I := SignExt(I, 64)
19-
io.imm.S := SignExt(S, 64)
20-
io.imm.B := SignExt(B, 64)
21-
io.imm.U := SignExt(U, 64)
22-
io.imm.J := SignExt(J, 64)
20+
io.imm.I := SignExt(I, XLen)
21+
io.imm.S := SignExt(S, XLen)
22+
io.imm.B := SignExt(B, XLen)
23+
io.imm.U := SignExt(U, XLen)
24+
io.imm.J := SignExt(J, XLen)
2325
}

rtl/tc_l2/src/main/scala/core/ma/LSU.scala

Lines changed: 7 additions & 4 deletions
Original file line numberDiff line numberDiff line change
@@ -3,16 +3,18 @@ package treecorel2
33
import chisel3._
44
import chisel3.util._
55

6-
class LSU extends Module {
6+
import treecorel2.common.InstConfig
7+
8+
class LSU extends Module with InstConfig {
79
val io = IO(new Bundle {
810
val valid = Input(Bool())
911
val isa = Input(new ISAIO)
10-
val src1 = Input(UInt(64.W))
11-
val src2 = Input(UInt(64.W))
12+
val src1 = Input(UInt(XLen.W))
13+
val src2 = Input(UInt(XLen.W))
1214
val imm = Input(new IMMIO)
1315
val ld = new LDIO
1416
val sd = new SDIO
15-
val loadData = Output(UInt(64.W))
17+
val loadData = Output(UInt(XLen.W))
1618
})
1719

1820
protected val ldInstVis = io.isa.LD || io.isa.LW || io.isa.LH || io.isa.LB || io.isa.LWU || io.isa.LHU || io.isa.LBU
@@ -41,6 +43,7 @@ class LSU extends Module {
4143
protected val lbuData = SignExt(io.isa.LBU.asUInt, 64) & (ZeroExt(bTypeData, 64))
4244
io.loadData := ldData | lwData | lhData | lbData | lwuData | lhuData | lbuData
4345

46+
// store signals
4447
io.sd.en := io.valid && sdInstVis
4548
io.sd.addr := io.src1 + io.imm.S
4649
protected val sdData = SignExt(io.isa.SD.asUInt, 64) & io.src2

rtl/tc_l2/src/main/scala/core/wb/WBU.scala

Lines changed: 10 additions & 11 deletions
Original file line numberDiff line numberDiff line change
@@ -5,16 +5,15 @@ import chisel3.util._
55

66
import difftest._
77

8-
import treecorel2.common.ConstVal
9-
import treecorel2.common.InstConfig
8+
import treecorel2.common.{ConstVal, InstConfig}
109

1110
class WBU extends Module with InstConfig {
1211
val io = IO(new Bundle {
1312
val globalEn = Input(Bool())
1413
val socEn = Input(Bool())
1514
val mem2wb = Flipped(new MEM2WBIO)
1615
val wbdata = new WBDATAIO
17-
val gpr = Input(Vec(32, UInt(64.W)))
16+
val gpr = Input(Vec(RegfileNum, UInt(XLen.W)))
1817
})
1918

2019
protected val wbReg = RegEnable(io.mem2wb, WireInit(0.U.asTypeOf(new MEM2WBIO())), io.globalEn)
@@ -55,14 +54,14 @@ class WBU extends Module with InstConfig {
5554
}
5655

5756
// for difftest commit
58-
protected val mmioEn = cvalid
59-
protected val csrVis = isa.CSRRW || isa.CSRRS || isa.CSRRC || isa.CSRRWI || isa.CSRRSI || isa.CSRRCI
60-
protected val mcycleVis = csrVis && (inst(31, 20) === ConstVal.mcycleAddr)
61-
protected val mipVis = csrVis && (inst(31, 20) === ConstVal.mipAddr)
62-
protected val timeIntrEnReg = RegEnable(timeIntrEn, false.B, io.globalEn)
63-
protected val diffValid = io.globalEn && (RegEnable(valid, false.B, io.globalEn) || timeIntrEnReg)
64-
6557
if (!SoCEna) {
58+
val mmioEn = cvalid
59+
val csrVis = isa.CSRRW || isa.CSRRS || isa.CSRRC || isa.CSRRWI || isa.CSRRSI || isa.CSRRCI
60+
val mcycleVis = csrVis && (inst(31, 20) === ConstVal.mcycleAddr)
61+
val mipVis = csrVis && (inst(31, 20) === ConstVal.mipAddr)
62+
val timeIntrEnReg = RegEnable(timeIntrEn, false.B, io.globalEn)
63+
val diffValid = io.globalEn && (RegEnable(valid, false.B, io.globalEn) || timeIntrEnReg)
64+
6665
val instComm = Module(new DifftestInstrCommit)
6766
val archIntRegState = Module(new DifftestArchIntRegState)
6867
val csrState = Module(new DifftestCSRState)
@@ -130,6 +129,6 @@ class WBU extends Module with InstConfig {
130129

131130
archFpRegState.io.clock := clock
132131
archFpRegState.io.coreid := 0.U
133-
archFpRegState.io.fpr := RegInit(VecInit(Seq.fill(32)(0.U(64.W))))
132+
archFpRegState.io.fpr := RegInit(VecInit(Seq.fill(RegfileNum)(0.U(XLen.W))))
134133
}
135134
}

0 commit comments

Comments
 (0)