Skip to content

Commit 1954f75

Browse files
committed
refactor: combine ld and sd io into ls io
1 parent c4e7f97 commit 1954f75

File tree

2 files changed

+8
-12
lines changed

2 files changed

+8
-12
lines changed

rtl/tc_l2/src/main/scala/port/LDIO.scala

Lines changed: 0 additions & 11 deletions
This file was deleted.

rtl/tc_l2/src/main/scala/port/SDIO.scala renamed to rtl/tc_l2/src/main/scala/port/LSIO.scala

Lines changed: 8 additions & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -3,9 +3,16 @@ package treecorel2
33
import chisel3._
44
import chisel3.util._
55

6+
class LDIO extends Bundle {
7+
val en = Output(Bool())
8+
val addr = Output(UInt(64.W))
9+
val data = Input(UInt(64.W))
10+
val size = Output(UInt(3.W))
11+
}
12+
613
class SDIO extends Bundle {
714
val en = Output(Bool())
815
val addr = Output(UInt(64.W))
916
val data = Output(UInt(64.W))
1017
val mask = Output(UInt(8.W))
11-
}
18+
}

0 commit comments

Comments
 (0)