Skip to content

Commit 2b57c88

Browse files
committed
style: modify axi bridge and arbiter's mask impl
1 parent 30695de commit 2b57c88

File tree

2 files changed

+7
-8
lines changed

2 files changed

+7
-8
lines changed

rtl/tc_l2/src/main/scala/axi4/AXI4Bridge.scala

Lines changed: 1 addition & 2 deletions
Original file line numberDiff line numberDiff line change
@@ -22,10 +22,9 @@ class AXI4Bridge extends Module with InstConfig {
2222
arbiter.io.rHdShk := io.axi.r.fire()
2323

2424
protected val wMask = arbiter.io.dxchg.wmask
25-
protected val byteSize = wMask(7) + wMask(6) + wMask(5) + wMask(4) + wMask(3) + wMask(2) + wMask(1) + wMask(0)
2625
protected val socARSize = arbiter.io.dxchg.rsize
2726
protected val socAWSize = MuxLookup(
28-
byteSize,
27+
PopCount(wMask),
2928
0.U,
3029
Array(
3130
8.U -> 3.U,

rtl/tc_l2/src/main/scala/axi4/Arbiter.scala

Lines changed: 6 additions & 6 deletions
Original file line numberDiff line numberDiff line change
@@ -15,7 +15,7 @@ class Arbiter extends Module with InstConfig {
1515
val bHdShk = Input(Bool())
1616
val arHdShk = Input(Bool())
1717
val rHdShk = Input(Bool())
18-
val axirdata = Input(UInt(64.W))
18+
val axirdata = Input(UInt(XLen.W))
1919
val dxchg = Flipped(new DXCHGIO)
2020
val state = Output(UInt(3.W))
2121
val runEn = Output(Bool())
@@ -27,13 +27,13 @@ class Arbiter extends Module with InstConfig {
2727

2828
protected val valid = RegInit(false.B)
2929
protected val ren = RegInit(false.B)
30-
protected val raddr = RegInit(0.U(64.W))
31-
protected val rdata = RegInit(0.U(64.W))
30+
protected val raddr = RegInit(0.U(XLen.W))
31+
protected val rdata = RegInit(0.U(XLen.W))
3232
protected val rsize = RegInit(0.U(3.W))
3333
protected val wen = RegInit(false.B)
34-
protected val waddr = RegInit(0.U(64.W))
35-
protected val wdata = RegInit(0.U(64.W))
36-
protected val wmask = RegInit(0.U(8.W))
34+
protected val waddr = RegInit(0.U(XLen.W))
35+
protected val wdata = RegInit(0.U(XLen.W))
36+
protected val wmask = RegInit(0.U(MaskLen.W))
3737
protected val stateReg = RegInit(Arbiter.eumIDLE)
3838
io.state := stateReg
3939
io.dxchg.rdata := rdata

0 commit comments

Comments
 (0)