Skip to content

Commit 7dc4f4d

Browse files
committed
refactor: change .common scope var into root scope
1 parent 1954f75 commit 7dc4f4d

28 files changed

+9
-47
lines changed

rtl/tc_l2/src/main/scala/axi4/AXI4Bridge.scala

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -4,7 +4,7 @@ import chisel3._
44
import chisel3.util._
55

66
import treecorel2._
7-
import treecorel2.common.AXI4Config
7+
import treecorel2.AXI4Config
88

99
class AXI4Bridge extends Module with AXI4Config {
1010
val io = IO(new Bundle {

rtl/tc_l2/src/main/scala/axi4/Arbiter.scala

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -4,7 +4,7 @@ import chisel3._
44
import chisel3.util._
55

66
import treecorel2.DXCHGIO
7-
import treecorel2.common.AXI4Config
7+
import treecorel2.AXI4Config
88

99
object Arbiter {
1010
// FSM var for read/write

rtl/tc_l2/src/main/scala/axi4/Crossbar.scala

Lines changed: 0 additions & 2 deletions
Original file line numberDiff line numberDiff line change
@@ -3,8 +3,6 @@ package treecorel2
33
import chisel3._
44
import chisel3.util._
55

6-
import treecorel2.common.InstConfig
7-
86
class Crossbar extends Module with InstConfig {
97
val io = IO(new Bundle {
108
val socEn = Input(Bool())

rtl/tc_l2/src/main/scala/common/AXI4Config.scala

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -1,4 +1,4 @@
1-
package treecorel2.common
1+
package treecorel2
22

33
import chisel3._
44
import chisel3.util._

rtl/tc_l2/src/main/scala/common/ConstVal.scala

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -1,4 +1,4 @@
1-
package treecorel2.common
1+
package treecorel2
22

33
import chisel3._
44
import chisel3.util.log2Ceil

rtl/tc_l2/src/main/scala/common/InstConfig.scala

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -1,4 +1,4 @@
1-
package treecorel2.common
1+
package treecorel2
22

33
import chisel3._
44
import chisel3.util._

rtl/tc_l2/src/main/scala/core/exec/ACU.scala

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -3,7 +3,7 @@
33
// import chisel3._
44
// import chisel3.util._
55

6-
// import treecorel2.common.ConstVal
6+
// import treecorel2.ConstVal
77

88
// class AGU extends Module {
99
// val io = IO(new Bundle {

rtl/tc_l2/src/main/scala/core/exec/ALU.scala

Lines changed: 0 additions & 2 deletions
Original file line numberDiff line numberDiff line change
@@ -3,8 +3,6 @@ package treecorel2
33
import chisel3._
44
import chisel3.util._
55

6-
import treecorel2.common.InstConfig
7-
86
class ALU extends Module with InstConfig {
97
val io = IO(new Bundle {
108
val isa = Input(UInt(InstValLen.W))

rtl/tc_l2/src/main/scala/core/exec/BEU.scala

Lines changed: 0 additions & 2 deletions
Original file line numberDiff line numberDiff line change
@@ -3,8 +3,6 @@ package treecorel2
33
import chisel3._
44
import chisel3.util._
55

6-
import treecorel2.common.InstConfig
7-
86
class BEU extends Module with InstConfig {
97
val io = IO(new Bundle {
108
val isa = Input(UInt(InstValLen.W))

rtl/tc_l2/src/main/scala/core/exec/CSRReg.scala

Lines changed: 0 additions & 2 deletions
Original file line numberDiff line numberDiff line change
@@ -4,8 +4,6 @@ import chisel3._
44
import chisel3.util._
55
import difftest._
66

7-
import treecorel2.common.InstConfig
8-
97
class CSRReg extends Module with InstConfig {
108
val io = IO(new Bundle {
119
val globalEn = Input(Bool())

0 commit comments

Comments
 (0)